Current File : /home/mmdealscpanel/yummmdeals.com/syntax.zip
PK[/�<O33
cxx.syntaxnu�[���context default
    keyword whole auto yellow
    keyword whole break yellow
    keyword whole case yellow
    keyword whole char yellow
    keyword whole const yellow
    keyword whole continue yellow
    keyword whole default yellow
    keyword whole do yellow
    keyword whole double yellow
    keyword whole else yellow
    keyword whole enum yellow
    keyword whole extern yellow
    keyword whole float yellow
    keyword whole for yellow
    keyword whole goto yellow
    keyword whole if yellow
    keyword whole int yellow
    keyword whole long yellow
    keyword whole register yellow
    keyword whole return yellow
    keyword whole short yellow
    keyword whole signed yellow
    keyword whole sizeof yellow
    keyword whole static yellow
    keyword whole struct yellow
    keyword whole switch yellow
    keyword whole typedef yellow
    keyword whole union yellow
    keyword whole unsigned yellow
    keyword whole void yellow
    keyword whole volatile yellow
    keyword whole while yellow
    keyword whole asm yellow
    keyword whole catch yellow
    keyword whole class yellow
    keyword whole friend yellow
    keyword whole delete yellow
    keyword whole inline yellow
    keyword whole new yellow
    keyword whole operator yellow
    keyword whole private yellow
    keyword whole protected yellow
    keyword whole public yellow
    keyword whole this yellow
    keyword whole throw yellow
    keyword whole template yellow
    keyword whole try yellow
    keyword whole virtual yellow
    keyword whole bool yellow
    keyword whole const_cast yellow
    keyword whole dynamic_cast yellow
    keyword whole explicit yellow
    keyword whole false yellow
    keyword whole mutable yellow
    keyword whole namespace yellow
    keyword whole reinterpret_cast yellow
    keyword whole static_cast yellow
    keyword whole true yellow
    keyword whole typeid yellow
    keyword whole typename yellow
    keyword whole using yellow
    keyword whole wchar_t yellow
    keyword whole ... yellow
    keyword whole linestart \{\s\t\}\[\s\t\]#*\n brightmagenta

    keyword /\* brown
    keyword \*/ brown
    keyword // brown

    keyword '\\\{"abtnvfr\}' brightgreen
    keyword '\\\{0123\}\{01234567\}\{01234567\}' brightgreen
    keyword '\\'' brightgreen
    keyword '\\\\' brightgreen
    keyword '\\0' brightgreen
    keyword '\{\s!"#$%&()\*\+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[]^_`abcdefghijklmnopqrstuvwxyz{|}~���������������������������������������������������������������������������������������������\}' brightgreen

    keyword > yellow
    keyword < yellow
    keyword \+ yellow
    keyword - yellow
    keyword \* yellow
    keyword / yellow
    keyword % yellow
    keyword = yellow
    keyword != yellow
    keyword == yellow
    keyword { brightcyan
    keyword } brightcyan
    keyword ( brightcyan
    keyword ) brightcyan
    keyword [ brightcyan
    keyword ] brightcyan
    keyword , brightcyan
    keyword : brightcyan
    keyword ? brightcyan
    keyword ; brightmagenta

context exclusive /\* \*/ brown
    spellcheck

context exclusive // \n brown
    spellcheck

context linestart # \n brightred
    keyword \\\n yellow
    keyword /\**\*/ brown
    keyword //*\n brown
    keyword "+" red
    keyword <+> red

context " " green
    spellcheck
    keyword \\" brightgreen
    keyword %% brightgreen
    keyword %\[#0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[L\]\{eEfgGoxX\} brightgreen
    keyword %\[0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[hl\]\{diuxX\} brightgreen
    keyword %\[hl\]n brightgreen
    keyword %\[-\]\[0123456789\*\]\[.\]\[0123456789\*\]s brightgreen
    keyword %[*] brightgreen
    keyword %c brightgreen
    keyword %p brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
    keyword \\\\ brightgreen
    keyword \\' brightgreen
    keyword \\\{abtnvfr\} brightgreen
PK[址`��
eiffel.syntaxnu�[���# Sytnax highlighting for Eiffel
# Daniel F Moisset - dmoisset@grulic.org.ar
# Based on mc's pascal.syntax

context default yellow

    keyword whole agent white
    keyword whole alias white
    keyword whole as white
    keyword whole check white
    keyword whole class white
    keyword whole create white
    keyword whole creation white
    keyword whole debug white
    keyword whole deferred white
    keyword whole do white
    keyword whole else white
    keyword whole elseif white
    keyword whole end white
    keyword whole ensure white
    keyword whole expanded white
    keyword whole export white
    keyword whole external white
    keyword whole feature white
    keyword whole from white
    keyword whole if white
    keyword whole is white
    keyword whole indexing white
    keyword whole inherit white
    keyword whole inspect white
    keyword whole invariant white
    keyword whole like white
    keyword whole local white
    keyword whole loop white
    keyword whole obsolete white
    keyword whole old white
    keyword whole once white
    keyword whole redefine white
    keyword whole reference white
    keyword whole rename white
    keyword whole require white
    keyword whole rescue white
    keyword whole retry white
    keyword whole select white
    keyword whole then white
    keyword whole undefine white
    keyword whole unique white
    keyword whole until white
    keyword whole variant white
    keyword whole when white

    keyword whole Current brightmagenta
    keyword whole Precursor brightmagenta
    keyword whole Result brightmagenta
    keyword whole Void brightmagenta

# prevents - keyword from interfering with comment
    keyword -- lightgray

    keyword := brightcyan
    keyword ?= brightcyan
    keyword ! brightcyan
    keyword : brightcyan
    keyword ; brightcyan
    keyword ( brightcyan
    keyword ) brightcyan
    keyword [ brightcyan
    keyword ] brightcyan

    keyword {*} brightred

    keyword \+ cyan
    keyword - cyan
    keyword \* cyan
    keyword / cyan
    keyword > cyan
    keyword < cyan
    keyword = cyan
    keyword ^ cyan
    keyword \\ cyan
    keyword @ cyan
    keyword | cyan
    keyword whole and cyan
    keyword whole implies cyan
    keyword whole not cyan
    keyword whole xor cyan
    keyword whole or cyan

# Uncomment this to highlight tabs and trailing spaces
#    keyword \t yellow red
#    keyword \s\[\s\]\n yellow red

# Comments
context exclusive -- \n    lightgray
    keyword `*' brown

# Strings and characters
context " "    green
    keyword %N brightgreen
    keyword %R brightgreen
    keyword %U brightgreen
    keyword %" brightgreen
    keyword %' brightgreen
    keyword %% brightgreen
    keyword %/\[0123456789\]/ brightgreen

context ' '    green
    keyword %N brightgreen
    keyword %R brightgreen
    keyword %U brightgreen
    keyword %" brightgreen
    keyword %' brightgreen
    keyword %% brightgreen
    keyword %/\[0123456789\]/ brightgreen
PK[C����ruby.syntaxnu�[���#Ruby syntax file derived from perl syntax by Marco Ciampa <ciampix@libero.it>
#alpha version 0.2 16/05/2003

context default

#Bang path

    keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/bin/ruby brightcyan black
    keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/bin/ruby brightcyan black
    keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/bin/ruby brightcyan black
    keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/bin/ruby brightcyan black
    keyword whole linestart #!\[\s\]/bin/ruby brightcyan black

# Symbols :bla-bla
    keyword whole \:\{ABCDEFGHIJKLMNOPQRSTUVWXYZ_\-abcdefghijklmnopqrstuvwxyz\}\[\?\-0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] white

#Operators

    keyword > yellow
    keyword < yellow
    keyword - yellow
    keyword \+ yellow
    keyword \* yellow
    keyword / yellow
    keyword % yellow
    keyword = yellow
    keyword \+= yellow
    keyword -= yellow
    keyword == yellow
    keyword != yellow
    keyword === yellow
    keyword <=> yellow
    keyword ! yellow
    keyword ~ yellow
    keyword =~ yellow
    keyword & yellow
    keyword | yellow
    keyword .. yellow

#System variables

# hack for regexps
    keyword $/ yellow
    keyword $\{!@&\+`'=~/\\,.;<>_\*"$:F?\} red
    keyword $\{\0123456789\} red
    keyword $-+ red

    keyword whole $defout red
    keyword whole $DEBUG red
    keyword whole $F red
    keyword whole $FILENAME red
    keyword whole $LOAD_PATH red
    keyword whole $SAFE red
    keyword whole $VERBOSE red
    keyword whole __FILE__ red
    keyword whole __LINE__ red
    keyword whole BEGIN red
    keyword whole END red
    keyword whole DATA red
    keyword whole RUBY_PLATFORM red
    keyword whole RUBY_RELEASE_DATE red
    keyword whole RUBY_VERSION red
    keyword whole $stdin red
    keyword whole STDIN red
    keyword whole $stdout red
    keyword whole STDOUT red
    keyword whole $stderr red
    keyword whole STDERR red
    keyword whole argv red
    keyword whole ARGV red
    keyword whole ARGF red
    keyword whole env red
    keyword whole ENV red

#Braces &

    keyword { brightcyan
    keyword } brightcyan
    keyword ( brightcyan
    keyword ) brightcyan
    keyword [ brightcyan
    keyword ] brightcyan
    keyword , brightcyan
    keyword : brightcyan

    keyword whole false brightred
    keyword whole FALSE brightred
    keyword whole nil brightred
    keyword whole NIL brightred
    keyword whole true brightred
    keyword whole TRUE brightred

#Variables

    keyword wholeright $+ brightgreen
    keyword wholeright @+ white
    keyword \\" brightred
    keyword \\' brightred

#Reserved words (see ruby manual)

    keyword whole def magenta

    keyword whole alias magenta
    keyword whole and magenta
    keyword whole begin magenta
    keyword whole break magenta
    keyword whole case magenta
    keyword whole class magenta
    keyword whole defined\? magenta
    keyword whole do magenta
    keyword whole else magenta
    keyword whole elsif magenta
    keyword whole end magenta
    keyword whole ensure magenta
    keyword whole for magenta
    keyword whole if magenta
    keyword whole in magenta
    keyword whole module magenta
    keyword whole next magenta
    keyword whole not magenta
    keyword whole or magenta
    keyword whole redo magenta
    keyword whole rescue magenta
    keyword whole retry magenta
    keyword whole return magenta
    keyword whole self magenta
    keyword whole super magenta
    keyword whole then magenta
    keyword whole undef magenta
    keyword whole unless magenta
    keyword whole until magenta
    keyword whole when magenta
    keyword whole while magenta
    keyword whole yeld magenta

#First class methods

    keyword whole new yellow
    keyword whole inherited yellow
    keyword whole superclass yellow

#Class module
#   exclusion
    keyword whole include\? green
    keyword whole include magenta
    keyword whole require magenta
    keyword whole require_relative magenta
#    keyword whole where magenta

#Class numeric

    keyword whole abs red
    keyword whole modulo red
    keyword whole remainder red

#Class IO

    keyword whole close yellow
    keyword whole crypt yellow
    keyword whole delete yellow
    keyword whole each yellow
    keyword whole gets yellow
    keyword whole grep yellow
    keyword whole inspect yellow
    keyword whole join yellow
    keyword whole sync yellow

#Class Array

    keyword whole index yellow
    keyword whole indexes yellow
    keyword whole indices yellow
    keyword whole size yellow
    keyword whole pop yellow
    keyword whole push yellow
    keyword whole sort yellow
    keyword whole shift yellow
    keyword whole unshift yellow

#Math module

    keyword whole Math::PI red
    keyword whole Math::E red
    keyword whole atan2 red
    keyword whole cos red
    keyword whole exp red
    keyword whole frexp red
    keyword whole ldexp red
    keyword whole log red
    keyword whole log10 red
    keyword whole sin red
    keyword whole sqrt red
    keyword whole tan red

#Kernel module

    keyword whole chomp yellow
    keyword whole chomp! yellow
    keyword whole chop yellow
    keyword whole chop! yellow
    keyword whole raise yellow
    keyword whole fork yellow
    keyword whole rand yellow
    keyword whole srand yellow
    keyword whole open yellow
    keyword whole print yellow
    keyword whole printf yellow
    keyword whole sprintf yellow
    keyword whole exec yellow
    keyword whole eval yellow
    keyword whole exit yellow
    keyword whole sleep yellow

#this works only with label EOF :-(
#context exclusive whole <<\[\s\]EOF EOF green

context # \n brown
    spellcheck

context " " green
    keyword \\" brightgreen
    keyword \\\\ brightgreen

context ' ' brightgreen
    keyword \\' green
    keyword \\\\ green

context exclusive ` ` white black
PK[M��O��
yxx.syntaxnu�[���context default
    keyword whole auto yellow
    keyword whole break yellow
    keyword whole case yellow
    keyword whole char yellow
    keyword whole const yellow
    keyword whole continue yellow
    keyword whole default yellow
    keyword whole do yellow
    keyword whole double yellow
    keyword whole else yellow
    keyword whole enum yellow
    keyword whole extern yellow
    keyword whole float yellow
    keyword whole for yellow
    keyword whole goto yellow
    keyword whole if yellow
    keyword whole int yellow
    keyword whole long yellow
    keyword whole register yellow
    keyword whole return yellow
    keyword whole short yellow
    keyword whole signed yellow
    keyword whole sizeof yellow
    keyword whole static yellow
    keyword whole struct yellow
    keyword whole switch yellow
    keyword whole typedef yellow
    keyword whole union yellow
    keyword whole unsigned yellow
    keyword whole void yellow
    keyword whole volatile yellow
    keyword whole while yellow
    keyword whole asm yellow
    keyword whole catch yellow
    keyword whole class yellow
    keyword whole friend yellow
    keyword whole delete yellow
    keyword whole inline yellow
    keyword whole new yellow
    keyword whole operator yellow
    keyword whole private yellow
    keyword whole protected yellow
    keyword whole public yellow
    keyword whole this yellow
    keyword whole throw yellow
    keyword whole template yellow
    keyword whole try yellow
    keyword whole virtual yellow
    keyword whole bool yellow
    keyword whole const_cast yellow
    keyword whole dynamic_cast yellow
    keyword whole explicit yellow
    keyword whole false yellow
    keyword whole mutable yellow
    keyword whole namespace yellow
    keyword whole reinterpret_cast yellow
    keyword whole static_cast yellow
    keyword whole true yellow
    keyword whole typeid yellow
    keyword whole typename yellow
    keyword whole using yellow
    keyword whole wchar_t yellow
    keyword whole ... yellow
    keyword whole linestart \{\s\t\}\[\s\t\]#*\n brightmagenta

    keyword whole accept yellow
    keyword whole code yellow
    keyword whole debug yellow
    keyword whole defines yellow
    keyword whole destructor yellow
    keyword whole dprec yellow
    keyword whole end yellow
    keyword whole error yellow
    keyword whole error-verbose yellow
    keyword whole expect yellow
    keyword whole file-prefix yellow
    keyword whole glr-parser yellow
    keyword whole initial-action yellow
    keyword whole language yellow
    keyword whole left yellow
    keyword whole lex-param yellow
    keyword whole locations yellow
    keyword whole merge yellow
    keyword whole name-prefix yellow
    keyword whole node yellow
    keyword whole nonassoc yellow
    keyword whole no-parser yellow
    keyword whole no-lines yellow
    keyword whole output yellow
    keyword whole parse-param yellow
    keyword whole prec yellow
    keyword whole pure-parser yellow
    keyword whole require yellow
    keyword whole right yellow
    keyword whole skeleton yellow
    keyword whole start yellow
    keyword whole token yellow
    keyword whole token-table yellow
    keyword whole type yellow
    keyword whole undefined yellow
    keyword whole union yellow
    keyword whole verbose yellow
    keyword whole yacc yellow

    keyword whole YYABORT brightred
    keyword whole YYACCEPT brightred
    keyword whole YYBACKUP brightred
    keyword whole YYDEBUG brightred
    keyword whole YYERROR brightred
    keyword whole YYERROR_VERBOSE brightred
    keyword whole YYINITDEPTH brightred
    keyword whole YYLEX_PARAM brightred
    keyword whole YYLTYPE brightred
    keyword whole YYMAXDEPTH brightred
    keyword whole YYPARSE_PARAM brightred
    keyword whole YYRECOVERING brightred
    keyword whole YYSTACK_USE_ALLOCA brightred
    keyword whole YYSTYPE brightred

    keyword whole yychar brightmagenta
    keyword whole yyclearin brightmagenta
    keyword whole yydebug brightmagenta
    keyword whole yyerrok brightmagenta
    keyword whole yyerror brightmagenta
    keyword whole yylex brightmagenta
    keyword whole yylloc brightmagenta
    keyword whole yylval brightmagenta
    keyword whole yynerrs brightmagenta
    keyword whole yyparse brightmagenta
    keyword whole yypstate_delete brightmagenta
    keyword whole yypstate_new brightmagenta
    keyword whole yypull_parse brightmagenta
    keyword whole yypush_parse brightmagenta
    keyword whole yywrap brightmagenta

    keyword /\* brown
    keyword \*/ brown
    keyword // brown

    keyword '\\\{"abtnvfr\}' brightgreen
    keyword '\\\{0123\}\{01234567\}\{01234567\}' brightgreen
    keyword '\\'' brightgreen
    keyword '\\\\' brightgreen
    keyword '\\0' brightgreen
    keyword '\{\s!"#$%&()\*\+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[]^_`abcdefghijklmnopqrstuvwxyz{|}~���������������������������������������������������������������������������������������������\}' brightgreen

    keyword > yellow
    keyword < yellow
    keyword \+ yellow
    keyword - yellow
    keyword \* yellow
    keyword / yellow
    keyword % yellow
    keyword = yellow
    keyword != yellow
    keyword == yellow
    keyword { brightcyan
    keyword } brightcyan
    keyword ( brightcyan
    keyword ) brightcyan
    keyword [ brightcyan
    keyword ] brightcyan
    keyword , brightcyan
    keyword : brightcyan
    keyword ? brightcyan
    keyword ; brightmagenta

    keyword wholeright %{ brightcyan
    keyword wholeright %} brightcyan
    keyword wholeright %% brightcyan
    keyword % yellow
    keyword $$ yellow
    keyword $\[0123456789\] yellow
    keyword @$ yellow
    keyword @\[0123456789\] yellow

context exclusive /\* \*/ brown
    spellcheck

context exclusive // \n brown
    spellcheck

context linestart # \n brightred
    keyword \\\n yellow
    keyword /\**\*/ brown
    keyword //*\n brown
    keyword "+" red
    keyword <+> red

context " " green
    spellcheck
    keyword \\" brightgreen
    keyword %% brightgreen
    keyword %\[#0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[L\]\{eEfgGoxX\} brightgreen
    keyword %\[0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[hl\]\{diuxX\} brightgreen
    keyword %\[hl\]n brightgreen
    keyword %\[-\]\[0123456789\*\]\[.\]\[0123456789\*\]s brightgreen
    keyword %[*] brightgreen
    keyword %c brightgreen
    keyword %p brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
    keyword \\\\ brightgreen
    keyword \\' brightgreen
    keyword \\\{abtnvfr\} brightgreen
PK[��named.syntaxnu�[���wholechars abcdefghijklmnopqrstuvwxyz0123456789-

context default
    keyword whole acl yellow
    keyword whole additional-from-auth yellow
    keyword whole additional-from-cache yellow
    keyword whole algorithm yellow
    keyword whole allow-notify yellow
    keyword whole allow-query yellow
    keyword whole allow-recursion yellow
    keyword whole allow-transfer yellow
    keyword whole allow-update-forwarding yellow
    keyword whole allow-v6-synthesis yellow
    keyword whole allow yellow
    keyword whole also-notify yellow
    keyword whole alt-transfer-source yellow
    keyword whole alt-transfer-source-v6 yellow
    keyword whole avoid-v4-udp-ports yellow
    keyword whole avoid-v6-udp-ports yellow
    keyword whole auth-nxdomain yellow
    keyword whole blackhole yellow
    keyword whole bogus yellow
    keyword whole cache-file yellow
    keyword whole category yellow
    keyword whole channel yellow
    keyword whole check-names yellow
    keyword whole class yellow
    keyword whole cleaning-interval yellow
    keyword whole controls yellow
    keyword whole coresize yellow
    keyword whole database yellow
    keyword whole datasize yellow
    keyword whole dnssec-enable yellow
    keyword whole dnssec-lookaside yellow
    keyword whole dnssec-must-be-secure yellow
    keyword whole deallocate-on-exit yellow
    keyword whole delegation-only yellow
    keyword whole deny yellow
    keyword whole dialup yellow
    keyword whole directory yellow
    keyword whole dump-file yellow
    keyword whole edns yellow
    keyword whole exclude yellow
    keyword whole fake-iquery yellow
    keyword whole fetch-glue yellow
    keyword whole files yellow
    keyword whole file yellow
    keyword whole forwarders yellow
    keyword whole forward yellow
    keyword whole grant yellow
    keyword whole has-old-clients yellow
    keyword whole heartbeat-interval yellow
    keyword whole host-statistics yellow
    keyword whole host-statistics-max yellow
    keyword whole inet yellow
    keyword whole interface-interval yellow
    keyword whole key yellow
    keyword whole key-directory yellow
    keyword whole keys yellow
    keyword whole lame-ttl yellow
    keyword whole listen-on-v6 yellow
    keyword whole listen-on yellow
    keyword whole logging yellow
    keyword whole lwres yellow
    keyword whole maintain-ixfr-base yellow
    keyword whole masters yellow
    keyword whole match-clients yellow
    keyword whole match-destinations yellow
    keyword whole match-mapped-addresses yellow
    keyword whole match-recursive-only yellow
    keyword whole max-cache-size yellow
    keyword whole max-cache-ttl yellow
    keyword whole max-ixfr-log-size yellow
    keyword whole max-ncache-ttl yellow
    keyword whole max-refresh-time yellow
    keyword whole max-retry-time yellow
    keyword whole max-transfer-idle-in yellow
    keyword whole max-transfer-idle-out yellow
    keyword whole max-transfer-time-in yellow
    keyword whole max-transfer-time-out yellow
    keyword whole memstatistics-file yellow
    keyword whole minimal-responses yellow
    keyword whole min-refresh-time yellow
    keyword whole min-retry-time yellow
    keyword whole min-roots yellow
    keyword whole multiple-cnames yellow
    keyword whole named-xfer yellow
    keyword whole name yellow
    keyword whole ndots yellow
    keyword whole notify-source-v6 yellow
    keyword whole notify-source yellow
    keyword whole notify yellow
    keyword whole options yellow
    keyword whole pid-file yellow
    keyword whole port yellow
    keyword whole print-category yellow
    keyword whole print-severity yellow
    keyword whole print-time yellow
    keyword whole provide-ixfr yellow
    keyword whole pubkey yellow
    keyword whole query-source-v6 yellow
    keyword whole query-source yellow
    keyword whole querylog yellow
    keyword whole random-device yellow
    keyword whole recursing-file yellow
    keyword whole recursion yellow
    keyword whole recursive-clients yellow
    keyword whole request-ixfr yellow
    keyword whole rfc2308-type1 yellow
    keyword whole root-delegation-only yellow
    keyword whole rrset-order yellow
    keyword whole search yellow
    keyword whole secret yellow
    keyword whole self yellow
    keyword whole serial-queries yellow
    keyword whole serial-query-rate yellow
    keyword whole server yellow
    keyword whole server-id yellow
    keyword whole severity yellow
    keyword whole sig-validity-interval yellow
    keyword whole sortlist yellow
    keyword whole stacksize yellow
    keyword whole statistics-file yellow
    keyword whole statistics-interval yellow
    keyword whole subdomain yellow
    keyword whole support-ixfr yellow
    keyword whole suppress-initial-notify yellow
    keyword whole syslog yellow
    keyword whole tcp-clients yellow
    keyword whole tkey-dhkey yellow
    keyword whole tkey-domain yellow
    keyword whole tkey-gssapi-credential yellow
    keyword whole topology yellow
    keyword whole transfer-format yellow
    keyword whole transfers-in yellow
    keyword whole transfer-source-v6 yellow
    keyword whole transfer-source yellow
    keyword whole transfers-out yellow
    keyword whole transfers-per-ns yellow
    keyword whole transfers yellow
    keyword whole treat-cr-as-space yellow
    keyword whole trusted-keys yellow
    keyword whole type yellow
    keyword whole update-policy yellow
    keyword whole use-alt-transfer-source yellow
    keyword whole use-id-pool yellow
    keyword whole use-ixfr yellow
    keyword whole version yellow
    keyword whole view yellow
    keyword whole wildcard yellow
    keyword whole zone-statistics yellow
    keyword whole zone yellow

    keyword whole any brightred
    keyword whole fail brightred
    keyword whole first brightred
    keyword whole hint brightred
    keyword whole ignore brightred
    keyword whole many-answers brightred
    keyword whole master brightred
    keyword whole none brightred
    keyword whole null brightred
    keyword whole one-answer brightred
    keyword whole only brightred
    keyword whole response brightred
    keyword whole slave brightred
    keyword whole stderr brightred
    keyword whole stub brightred
    keyword whole warn brightred
    keyword whole yes brightred
    keyword whole no brightred

    keyword /\* brown
    keyword \*/ brown
    keyword // brown
    keyword # brown
    keyword { brightcyan
    keyword } brightcyan
    keyword ; brightmagenta

context exclusive /\* \*/ brown
    spellcheck

context exclusive // \n brown
    spellcheck

context exclusive # \n brown
    spellcheck

context " " green
    spellcheck
PK[�bqf��
idl.syntaxnu�[���context default
    keyword whole interface yellow
    keyword whole module yellow

    keyword whole Object yellow

    keyword whole struct yellow
    keyword whole union yellow
    keyword whole enum yellow
    keyword whole sequence yellow
    keyword whole typedef yellow

    keyword whole switch yellow
    keyword whole case yellow
    keyword whole default yellow

    keyword whole const yellow
    keyword whole char yellow
    keyword whole wchar yellow
    keyword whole short yellow
    keyword whole long yellow
    keyword whole unsigned yellow
    keyword whole double yellow
    keyword whole float yellow
    keyword whole fixed yellow
    keyword whole string yellow
    keyword whole wstring yellow
    keyword whole octet yellow
    keyword whole any yellow
    keyword whole void yellow
    keyword whole boolean yellow
    keyword whole TRUE yellow
    keyword whole FALSE yellow
    keyword whole valuetype yellow

    keyword whole attribute yellow
    keyword whole readonly yellow
    keyword whole in yellow
    keyword whole out yellow
    keyword whole inout yellow
    keyword whole oneway yellow
    keyword whole context yellow

    keyword whole exception yellow
    keyword whole raises yellow

    keyword whole linestart \{\s\t\}\[\s\t\]#*\n brightmagenta

    keyword /\* brown
    keyword \*/ brown
    keyword // brown

    keyword '\\\{"abtnvfr\}' brightgreen
    keyword '\\\{0123\}\{01234567\}\{01234567\}' brightgreen
    keyword '\\'' brightgreen
    keyword '\\\\' brightgreen
    keyword '\\0' brightgreen
    keyword '\{\s!"#$%&()\*\+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[]^_`abcdefghijklmnopqrstuvwxyz{|}~���������������������������������������������������������������������������������������������\}' brightgreen

    keyword > yellow
    keyword < yellow
    keyword \+ yellow
    keyword - yellow
    keyword \* yellow
    keyword / yellow
    keyword % yellow
    keyword = yellow
    keyword != yellow
    keyword == yellow
    keyword { brightcyan
    keyword } brightcyan
    keyword ( brightcyan
    keyword ) brightcyan
    keyword [ brightcyan
    keyword ] brightcyan
    keyword , brightcyan
    keyword : brightcyan
    keyword ? brightcyan
    keyword ; brightmagenta

context exclusive /\* \*/ brown
    spellcheck

context exclusive // \n brown
    spellcheck

context linestart # \n brightred
    keyword \\\n yellow
    keyword /\**\*/ brown
    keyword "+" red
    keyword <+> red

context " " green
    spellcheck
    keyword \\" brightgreen
    keyword %% brightgreen
    keyword %\[#0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[L\]\{eEfgGoxX\} brightgreen
    keyword %\[0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[hl\]\{diu\} brightgreen
    keyword %\[hl\]n brightgreen
    keyword %\[-\]\[0123456789\*\]\[.\]\[0123456789\*\]s brightgreen
    keyword %[*] brightgreen
    keyword %c brightgreen
    keyword %p brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
    keyword \\\\ brightgreen
    keyword \\' brightgreen
    keyword \\\{abtnvfr\} brightgreen
PK[D��V��diff.syntaxnu�[���# Highlighting for various diffs including those generated by CVS

context default yellow
    keyword linestart @@*@@ cyan
    keyword linestart Index:\s brown
    keyword linestart \s black white
    keyword linestart \*\*\*\*\*\*\*\*\*\*\*\*\*\*\* cyan
    keyword linestart \*\*\**\*\*\*\* cyan
    keyword linestart ---*---- cyan
context exclusive linestart @@*@@ \n brightcyan
context exclusive linestart Index:\s \n black white
context exclusive linestart \s \n lightgray
context exclusive linestart \t \n lightgray
context linestart diff \n white red
context linestart ---\s \n brightmagenta
context linestart \+\+\+\s \n brightmagenta
context linestart \*\*\*\s \n brightmagenta
context linestart === \n brown
context linestart \+ \n brightgreen
context linestart > \n brightgreen
context linestart - \n brightred
context linestart < \n brightred
context linestart ! \n yellow
context linestart ? \n brown
context linestart RCS\s \n brown
context linestart retrieving\s \n brown
context linestart Only\s \n yellow black
context linestart Common\s \n yellow black
context linestart File\s \n yellow black
context linestart Files\s \n yellow black
context linestart Binary\s \n yellow black
context linestart # \n brightcyan
PK[���h$&$&perl.syntaxnu�[���context default

# subroutine prototypes
    keyword (\[$%&\*;@[\\]\]) brightcyan

    keyword $_ red
    keyword $. red
    keyword $/ red
    keyword $, red
    keyword $" red
    keyword $\\ red
    keyword $# red
    keyword $\* red
    keyword $? red
    keyword $] red
    keyword $[ red
    keyword $; red
    keyword $! red
    keyword $@ red
    keyword $: red
    keyword $0 red
    keyword $$ red
    keyword $< red
    keyword $> red
    keyword $( red
    keyword $) red

    keyword $% red
    keyword $= red
    keyword $- red
    keyword $~ red
    keyword $| red
    keyword $& red
    keyword $` red
    keyword $' red
    keyword $\+ red
    keyword $\{0123456789\}\{0123456789\} red
    keyword $\{0123456789\} red

    keyword $^A red
    keyword $^D red
    keyword $^E red
    keyword $^I red
    keyword $^L red
    keyword $^P red
    keyword $^T red
    keyword $^W red
    keyword $^X red
    keyword $^A red

    keyword @EXPORT_OK red
    keyword @EXPORT red
    keyword @INC red
    keyword @ISA red
    keyword @_ red
    keyword @ENV red
    keyword @OVERLOAD red
    keyword @SIG red


    keyword <+> brightred
    keyword -> yellow
    keyword => yellow
    keyword > yellow
    keyword < yellow
    keyword \+ yellow
    keyword - yellow
    keyword \* yellow
    keyword / yellow
    keyword = yellow
    keyword != yellow
    keyword == yellow
    keyword && yellow
    keyword || yellow
    keyword ! yellow
    keyword whole ge yellow
    keyword whole le yellow
    keyword whole gt yellow
    keyword whole lt yellow
    keyword whole eq yellow
    keyword whole ne yellow
    keyword whole cmp yellow
    keyword ~ yellow
    keyword { brightcyan
    keyword } brightcyan
    keyword ( brightcyan
    keyword ) brightcyan
    keyword [ brightcyan
    keyword ] brightcyan
    keyword , brightcyan
    keyword .. brightcyan
    keyword : brightcyan
    keyword ; brightmagenta

    keyword whole sub yellow
    keyword whole STDIN brightred
    keyword whole STDOUT brightred
    keyword whole STDERR brightred
    keyword whole STDARGV brightred
    keyword whole DATA brightred

    keyword wholeright $+ brightgreen
    keyword wholeright %+ brightcyan
    keyword wholeright @+ white
    keyword \\" brightred
    keyword \\' brightred

    keyword % yellow
    keyword & brightmagenta

    keyword whole and magenta
    keyword whole bless magenta
    keyword whole break magenta
    keyword whole caller magenta
    keyword whole do magenta
    keyword whole else magenta
    keyword whole elsif magenta
    keyword whole foreach magenta
    keyword whole for magenta
    keyword whole goto magenta
    keyword whole if magenta
    keyword whole import magenta
    keyword whole last magenta
    keyword whole next magenta
    keyword whole not magenta
    keyword whole or magenta
    keyword whole package magenta
    keyword whole require magenta
    keyword whole return magenta
    keyword whole unless magenta
    keyword whole untie magenta
    keyword whole until magenta
    keyword whole use magenta
    keyword whole while magenta

    keyword whole diagnostics brightcyan
    keyword whole integer brightcyan
    keyword whole less brightcyan
    keyword whole lib brightcyan
    keyword whole ops brightcyan
    keyword whole overload brightcyan
    keyword whole sigtrap brightcyan
    keyword whole strict brightcyan
    keyword whole vars brightcyan
    keyword whole warnings brightcyan

    keyword whole abs yellow
    keyword whole atan2 yellow
    keyword whole chr yellow
    keyword whole cos yellow
    keyword whole exp yellow
    keyword whole gmtime yellow
    keyword whole hex yellow
    keyword whole int yellow
    keyword whole localtime yellow
    keyword whole log yellow
    keyword whole oct yellow
    keyword whole ord yellow
    keyword whole pack yellow
    keyword whole rand yellow
    keyword whole sin yellow
    keyword whole sqrt yellow
    keyword whole srand yellow
    keyword whole time yellow
    keyword whole unpack yellow
    keyword whole vec yellow

    keyword whole chomp yellow
    keyword whole chop yellow
    keyword whole crypt yellow
    keyword whole eval yellow
    keyword whole index yellow
    keyword whole lc yellow
    keyword whole lcfirst yellow
    keyword whole length yellow
    keyword whole quotemeta yellow
    keyword whole rindex yellow
    keyword whole substr yellow
    keyword whole uc yellow
    keyword whole ucfirst yellow

    keyword whole delete yellow
    keyword whole each yellow
    keyword whole exists yellow
    keyword whole grep yellow
    keyword whole join yellow
    keyword whole keys yellow
    keyword whole map yellow
    keyword whole pop yellow
    keyword whole push yellow
    keyword whole reverse yellow
    keyword whole scalar yellow
    keyword whole shift yellow
    keyword whole sort yellow
    keyword whole splice yellow
    keyword whole split yellow
    keyword whole unshift yellow
    keyword whole values yellow

    keyword whole chmod yellow
    keyword whole chown yellow
    keyword whole link yellow
    keyword whole lstat yellow
    keyword whole mkdir yellow
    keyword whole readlink yellow
    keyword whole rename yellow
    keyword whole rmdir yellow
    keyword whole stat yellow
    keyword whole symlink yellow
    keyword whole truncate yellow
    keyword whole unlink yellow
    keyword whole utime yellow

    keyword whole binmode yellow
    keyword whole close yellow
    keyword whole dbmclose yellow
    keyword whole dbmopen yellow
    keyword whole eof yellow
    keyword whole fcntl yellow
    keyword whole fileno yellow
    keyword whole flock yellow
    keyword whole getc yellow
    keyword whole ioctl yellow
    keyword whole open yellow
    keyword whole pipe yellow
    keyword whole print yellow
    keyword whole printf yellow
    keyword whole read yellow
    keyword whole seek yellow
    keyword whole select yellow
    keyword whole sprintf yellow
    keyword whole sysopen yellow
    keyword whole sysread yellow
    keyword whole syswrite yellow
    keyword whole tell yellow

    keyword whole formline yellow
    keyword whole write yellow

    keyword whole closedir yellow
    keyword whole opendir yellow
    keyword whole readdir yellow
    keyword whole rewinddir yellow
    keyword whole seekdir yellow
    keyword whole telldir yellow

    keyword whole alarm yellow
    keyword whole chdir yellow
    keyword whole chroot yellow
    keyword whole die yellow
    keyword whole exec yellow
    keyword whole exit yellow
    keyword whole fork yellow
    keyword whole getlogin yellow
    keyword whole getpgrp yellow
    keyword whole getppid yellow
    keyword whole getpriority yellow
    keyword whole glob yellow
    keyword whole kill yellow
    keyword whole setpgrp yellow
    keyword whole setpriority yellow
    keyword whole sleep yellow
    keyword whole syscall yellow
    keyword whole system yellow
    keyword whole times yellow
    keyword whole umask yellow
    keyword whole waitpid yellow
    keyword whole wait yellow
    keyword whole warn yellow

    keyword whole accept yellow
    keyword whole bind yellow
    keyword whole connect yellow
    keyword whole getpeername yellow
    keyword whole getsockname yellow
    keyword whole getsockopt yellow
    keyword whole listen yellow
    keyword whole recv yellow
    keyword whole send yellow
    keyword whole setsockopt yellow
    keyword whole shutdown yellow
    keyword whole socketpair yellow
    keyword whole socket yellow

    keyword whole msgctl yellow
    keyword whole msgget yellow
    keyword whole msgrcv yellow
    keyword whole msgsnd yellow
    keyword whole semctl yellow
    keyword whole semget yellow
    keyword whole semop yellow
    keyword whole shmctl yellow
    keyword whole shmget yellow
    keyword whole shmread yellow
    keyword whole shmwrite yellow

    keyword whole defined yellow
    keyword whole dump yellow
    keyword whole eval yellow
    keyword whole local yellow
    keyword whole my yellow
    keyword whole our yellow
    keyword whole ref yellow
    keyword whole reset yellow
    keyword whole scalar yellow
    keyword whole undef yellow
    keyword whole wantarray yellow

    keyword whole endgrent yellow
    keyword whole endpwent yellow
    keyword whole getgrent yellow
    keyword whole getgrgid yellow
    keyword whole getgrnam yellow
    keyword whole getpwent yellow
    keyword whole getpwnam yellow
    keyword whole getpwuid yellow
    keyword whole setgrent yellow
    keyword whole setpwent yellow

    keyword whole endhostent yellow
    keyword whole gethostbyaddr yellow
    keyword whole gethostbyname yellow
    keyword whole gethostent yellow
    keyword whole sethostent yellow

    keyword whole endnetent yellow
    keyword whole endprotoent yellow
    keyword whole endservent yellow
    keyword whole getnetbyaddr yellow
    keyword whole getnetbyname yellow
    keyword whole getnetent yellow
    keyword whole getprotobyname yellow
    keyword whole getprotobynumber yellow
    keyword whole getprotoent yellow
    keyword whole getservbyname yellow
    keyword whole getservbyport yellow
    keyword whole getservent yellow
    keyword whole serservent yellow
    keyword whole setnetent yellow
    keyword whole setprotoent yellow

context linestart #! \n brightcyan black

context exclusive whole <\[\s\\\]EOF EOF green

context # \n brown
    spellcheck

context exclusive linestart = linestart =cut brown
    spellcheck

context " " green
    keyword \\" brightgreen
    keyword \\\\ brightgreen

context ' ' brightgreen
    keyword \\' green
    keyword \\\\ green

context exclusive qw( ) green

context exclusive ` ` white black

context whole __END__ guacomale_pudding brown
PK[��?��
php.syntaxnu�[���# PHP syntax file

# Authors:
#    Paul Sheer
#    Stephen Perez
#    Max Schedriviy <max@humgat.org>, 2001--2002
#    Leonard den Ottolander <leonard den ottolander nl>, 2003--2005
#    Jindrich Novy <jnovy@redhat.com>, 2005

context default

######################
# Control structures

    keyword whole break brightmagenta
    keyword whole case brightmagenta
    keyword whole class brightmagenta
    keyword whole continue brightmagenta
    keyword whole declare brightmagenta
    keyword whole default brightmagenta
    keyword whole define brightmagenta
    keyword whole do brightmagenta
    keyword whole echo brightmagenta
    keyword whole else brightmagenta
    keyword whole elseif brightmagenta
    keyword whole endif brightmagenta
    keyword whole endwhile brightmagenta
    keyword whole extends brightmagenta
    keyword whole false brightmagenta
    keyword whole for brightmagenta
    keyword whole foreach brightmagenta
    keyword whole function brightmagenta
    keyword whole global brightmagenta
    keyword whole if brightmagenta
    keyword whole include brightmagenta
    keyword whole include_once brightmagenta
    keyword whole new brightmagenta
    keyword whole require brightmagenta
    keyword whole require_once brightmagenta
    keyword whole return brightmagenta
    keyword whole static brightmagenta
    keyword whole switch brightmagenta
    keyword whole true brightmagenta
    keyword whole while brightmagenta


# .NET Functions
    keyword whole dotnet_load yellow

# Apache-specific Functions
    keyword whole apache\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow
    keyword whole ascii2ebcdic yellow
    keyword whole ebcdic2ascii yellow
    keyword whole getallheaders yellow
    keyword whole virtual yellow

# Alternative PHP Cache
    keyword whole apc\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# Advanced PHP debugger
    keyword whole apd\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow
    keyword whole override_function yellow
    keyword whole rename_function yellow

# Array Functions
    keyword whole array yellow
    keyword whole array\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow
    keyword whole arsort yellow
    keyword whole asort yellow
    keyword whole compact yellow
    keyword whole count yellow
    keyword whole current yellow
    keyword whole each yellow
    keyword whole end yellow
    keyword whole extract yellow
    keyword whole in_array yellow
    keyword whole key yellow
    keyword whole krsort yellow
    keyword whole ksort yellow
    keyword whole list yellow
    keyword whole natcasesort yellow
    keyword whole natsort yellow
    keyword whole next yellow
    keyword whole pos yellow
    keyword whole prev yellow
    keyword whole range yellow
    keyword whole reset yellow
    keyword whole rsort yellow
    keyword whole shuffle yellow
    keyword whole sizeof yellow
    keyword whole sort yellow
    keyword whole uasort yellow
    keyword whole uksort yellow
    keyword whole usort yellow

# Array Functions constants
    keyword whole CASE_LOWER white
    keyword whole CASE_UPPER white
    keyword whole COUNT_NORMAL white
    keyword whole COUNT_RECURSIVE white
    keyword whole EXTR\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] white
    keyword whole SORT\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] white

# Aspell functions [deprecated]
    keyword whole aspell\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# BCMath Arbitrary Precision Mathematics Functions
    keyword whole bcadd yellow
    keyword whole bccomp yellow
    keyword whole bcdiv yellow
    keyword whole bcmod yellow
    keyword whole bcmul yellow
    keyword whole bcpow yellow
    keyword whole bcpowmod yellow
    keyword whole bcscale yellow
    keyword whole bcsqrt yellow
    keyword whole bcsub yellow

# PHP bytecode Compiler
    keyword whole bcompiler\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# Bzip2 Compression Functions
    keyword whole bzclose yellow
    keyword whole bzcompress yellow
    keyword whole bzdecompress yellow
    keyword whole bzerrno yellow
    keyword whole bzerror yellow
    keyword whole bzerrstr yellow
    keyword whole bzflush yellow
    keyword whole bzopen yellow
    keyword whole bzread yellow
    keyword whole bzwrite yellow

# Calendar Functions
    keyword whole cal\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow
    keyword whole FrenchToJD yellow
    keyword whole GregorianToJD yellow
    keyword whole JDDayOfWeek yellow
    keyword whole JDMonthName yellow
    keyword whole JDToFrench yellow
    keyword whole JDToGregorian yellow
    keyword whole JDToJulian yellow
    keyword whole JewishToJD yellow
    keyword whole JulianToJD yellow
    keyword whole easter_date yellow
    keyword whole easter_days yellow
    keyword whole jdtojewish yellow
    keyword whole jdtounix yellow
    keyword whole unixtojd yellow

# Calendar Functions constants
    keyword whole CAL\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] white

# CCVS API Functions [deprecated]
    keyword whole ccvs\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# Class/Object Functions
    keyword whole call_user_method yellow
    keyword whole call_user_method_array yellow
    keyword whole class_exists yellow
    keyword whole get_class yellow
    keyword whole get_class_methods yellow
    keyword whole get_class_vars yellow
    keyword whole get_declared_classes yellow
    keyword whole get_declared_interfaces yellow
    keyword whole get_object_vars yellow
    keyword whole get_parent_class yellow
    keyword whole interface_exists yellow
    keyword whole is_a yellow
    keyword whole is_subclass_of yellow
    keyword whole method_exists yellow
    keyword whole property_exists yellow

# Classkit Functions
    keyword whole classkit_import yellow
    keyword whole classkit_method_add yellow
    keyword whole classkit_method_copy yellow
    keyword whole classkit_method_redefine yellow
    keyword whole classkit_method_remove yellow
    keyword whole classkit_method_rename yellow

# Classkit Functions constants
    keyword whole CLASSKIT_ACC_PRIVATE white
    keyword whole CLASSKIT_ACC_PROTECTED white
    keyword whole CLASSKIT_ACC_PUBLIC white

# ClibPDF Functions
    keyword whole cpdf\_\[0123456789_abcdefghijklmnopqrstuvwxyz\] yellow

# ClibPDF Functions constants
    keyword whole CPDF_PL_1COLUMN white
    keyword whole CPDF_PL_2LCOLUMN white
    keyword whole CPDF_PL_2RCOLUMN white
    keyword whole CPDF_PL_SINGLE white
    keyword whole CPDF_PM_FULLSCREEN white
    keyword whole CPDF_PM_NONE white
    keyword whole CPDF_PM_OUTLINES white
    keyword whole CPDF_PM_THUMBS white

# COM and .Net (Windows)
    keyword whole com_addref yellow
    keyword whole com_create_guid yellow
    keyword whole com_event_sink yellow
    keyword whole com_get yellow
    keyword whole com_get_active_object yellow
    keyword whole com_invoke yellow
    keyword whole com_isenum yellow
    keyword whole com_load yellow
    keyword whole com_load_typelib yellow
    keyword whole com_message_pump yellow
    keyword whole com_print_typeinfo yellow
    keyword whole com_propget yellow
    keyword whole com_propput yellow
    keyword whole com_propset yellow
    keyword whole com_release yellow
    keyword whole com_set yellow
    keyword whole variant_abs yellow
    keyword whole variant_add yellow
    keyword whole variant_and yellow
    keyword whole variant_cast yellow
    keyword whole variant_cat yellow
    keyword whole variant_cmp yellow
    keyword whole variant_date_from_timestamp yellow
    keyword whole variant_date_to_timestamp yellow
    keyword whole variant_div yellow
    keyword whole variant_eqv yellow
    keyword whole variant_fix yellow
    keyword whole variant_get_type yellow
    keyword whole variant_idiv yellow
    keyword whole variant_imp yellow
    keyword whole variant_int yellow
    keyword whole variant_mod yellow
    keyword whole variant_mul yellow
    keyword whole variant_neg yellow
    keyword whole variant_not yellow
    keyword whole variant_or yellow
    keyword whole variant_pow yellow
    keyword whole variant_round yellow
    keyword whole variant_set yellow
    keyword whole variant_set_type yellow
    keyword whole variant_sub yellow
    keyword whole variant_xor yellow

# COM and .Net (Windows) constants
    keyword whole CLSCTX_ALL white
    keyword whole CLSCTX_INPROC_HANDLER white
    keyword whole CLSCTX_INPROC_SERVER white
    keyword whole CLSCTX_LOCAL_SERVER white
    keyword whole CLSCTX_REMOTE_SERVER white
    keyword whole CLSCTX_SERVER white
    keyword whole CP_ACP white
    keyword whole CP_MACCP white
    keyword whole CP_OEMCP white
    keyword whole CP_SYMBOL white
    keyword whole CP_THREAD_ACP white
    keyword whole CP_UTF7 white
    keyword whole CP_UTF8 white
    keyword whole DISP_E_DIVBYZERO white
    keyword whole DISP_E_OVERFLOW white
    keyword whole MK_E_UNAVAILABLE white
    keyword whole NORM_IGNORECASE white
    keyword whole NORM_IGNOREKANATYPE white
    keyword whole NORM_IGNOREKASHIDA white
    keyword whole NORM_IGNORENONSPACE white
    keyword whole NORM_IGNORESYMBOLS white
    keyword whole NORM_IGNOREWIDTH white
    keyword whole VARCMP_EQ white
    keyword whole VARCMP_GT white
    keyword whole VARCMP_LT white
    keyword whole VARCMP_NULL white
    keyword whole VT_ARRAY white
    keyword whole VT_BOOL white
    keyword whole VT_BSTR white
    keyword whole VT_BYREF white
    keyword whole VT_CY white
    keyword whole VT_DATE white
    keyword whole VT_DECIMAL white
    keyword whole VT_DISPATCH white
    keyword whole VT_EMPTY white
    keyword whole VT_ERROR white
    keyword whole VT_I1 white
    keyword whole VT_I2 white
    keyword whole VT_I4 white
    keyword whole VT_INT white
    keyword whole VT_NULL white
    keyword whole VT_R4 white
    keyword whole VT_R8 white
    keyword whole VT_UI1 white
    keyword whole VT_UI2 white
    keyword whole VT_UI4 white
    keyword whole VT_UINT white
    keyword whole VT_UNKNOWN white
    keyword whole VT_VARIANT white

# Crack Functions
    keyword whole crack_check yellow
    keyword whole crack_closedict yellow
    keyword whole crack_getlastmessage yellow
    keyword whole crack_opendict yellow

# Character Type Functions
    keyword whole ctype\_\[0123456789_abcdefghijklmnopqrstuvwxyz\] yellow

# CURL, Client URL Library Functions
    keyword whole curl\_\[0123456789_abcdefghijklmnopqrstuvwxyz\] yellow

# CURL, Client URL Library Functions constants
    keyword whole CURL\[0123456789_ABCDEFGHIJKLMNOPQRSTUVWXYZ\] white

# Cybercash Payment Functions
    keyword whole cybercash_base64_decode yellow
    keyword whole cybercash_base64_encode yellow
    keyword whole cybercash_decr yellow
    keyword whole cybercash_encr yellow

# Credit Mutuel CyberMUT functions
    keyword whole cybermut_creerformulairecm yellow
    keyword whole cybermut_creerreponsecm yellow
    keyword whole cybermut_testmac yellow

# Cyrus IMAP administration Functions
    keyword whole cyrus_authenticate yellow
    keyword whole cyrus_bind yellow
    keyword whole cyrus_close yellow
    keyword whole cyrus_connect yellow
    keyword whole cyrus_query yellow
    keyword whole cyrus_unbind yellow

# Cyrus IMAP administration Functions constants
    keyword whole CYRUS_CALLBACK_NOLITERAL white
    keyword whole CYRUS_CALLBACK_NUMBERED white
    keyword whole CYRUS_CONN_INITIALRESPONSE white
    keyword whole CYRUS_CONN_NONSYNCLITERAL white

# Date and Time Functions
    keyword whole checkdate yellow
    keyword whole date yellow
    keyword whole date_default_timezone_get yellow
    keyword whole date_default_timezone_set yellow
    keyword whole date_sunrise yellow
    keyword whole date_sunset yellow
    keyword whole getdate yellow
    keyword whole gettimeofday yellow
    keyword whole gmdate yellow
    keyword whole gmmktime yellow
    keyword whole gmstrftime yellow
    keyword whole idate yellow
    keyword whole localtime yellow
    keyword whole microtime yellow
    keyword whole mktime yellow
    keyword whole strftime yellow
    keyword whole strptime yellow
    keyword whole strtotime yellow
    keyword whole time yellow

# Date and Time Functions constants
    keyword whole DATE_ATOM white
    keyword whole DATE_COOKIE white
    keyword whole DATE_ISO8601 white
    keyword whole DATE_RFC1036 white
    keyword whole DATE_RFC1123 white
    keyword whole DATE_RFC2822 white
    keyword whole DATE_RFC822 white
    keyword whole DATE_RFC850 white
    keyword whole DATE_RSS white
    keyword whole DATE_W3C white

# DB++ Functions
    keyword whole dbplus_add yellow
    keyword whole dbplus_aql yellow
    keyword whole dbplus_chdir yellow
    keyword whole dbplus_close yellow
    keyword whole dbplus_curr yellow
    keyword whole dbplus_errcode yellow
    keyword whole dbplus_errno yellow
    keyword whole dbplus_find yellow
    keyword whole dbplus_first yellow
    keyword whole dbplus_flush yellow
    keyword whole dbplus_freealllocks yellow
    keyword whole dbplus_freelock yellow
    keyword whole dbplus_freerlocks yellow
    keyword whole dbplus_getlock yellow
    keyword whole dbplus_getunique yellow
    keyword whole dbplus_info yellow
    keyword whole dbplus_last yellow
    keyword whole dbplus_lockrel yellow
    keyword whole dbplus_next yellow
    keyword whole dbplus_open yellow
    keyword whole dbplus_prev yellow
    keyword whole dbplus_rchperm yellow
    keyword whole dbplus_rcreate yellow
    keyword whole dbplus_rcrtexact yellow
    keyword whole dbplus_rcrtlike yellow
    keyword whole dbplus_resolve yellow
    keyword whole dbplus_restorepos yellow
    keyword whole dbplus_rkeys yellow
    keyword whole dbplus_ropen yellow
    keyword whole dbplus_rquery yellow
    keyword whole dbplus_rrename yellow
    keyword whole dbplus_rsecindex yellow
    keyword whole dbplus_runlink yellow
    keyword whole dbplus_rzap yellow
    keyword whole dbplus_savepos yellow
    keyword whole dbplus_setindex yellow
    keyword whole dbplus_setindexbynumber yellow
    keyword whole dbplus_sql yellow
    keyword whole dbplus_tcl yellow
    keyword whole dbplus_tremove yellow
    keyword whole dbplus_undo yellow
    keyword whole dbplus_undoprepare yellow
    keyword whole dbplus_unlockrel yellow
    keyword whole dbplus_unselect yellow
    keyword whole dbplus_update yellow
    keyword whole dbplus_xlockrel yellow
    keyword whole dbplus_xunlockrel yellow

# Database (dbm-style) Abstraction Layer Functions
    keyword whole dba_close yellow
    keyword whole dba_delete yellow
    keyword whole dba_exists yellow
    keyword whole dba_fetch yellow
    keyword whole dba_firstkey yellow
    keyword whole dba_handlers yellow
    keyword whole dba_insert yellow
    keyword whole dba_key_split yellow
    keyword whole dba_list yellow
    keyword whole dba_nextkey yellow
    keyword whole dba_open yellow
    keyword whole dba_optimize yellow
    keyword whole dba_popen yellow
    keyword whole dba_replace yellow
    keyword whole dba_sync yellow

# dBase Functions
    keyword whole dbase_add_record yellow
    keyword whole dbase_close yellow
    keyword whole dbase_create yellow
    keyword whole dbase_delete_record yellow
    keyword whole dbase_get_header_info yellow
    keyword whole dbase_get_record yellow
    keyword whole dbase_get_record_with_names yellow
    keyword whole dbase_numfields yellow
    keyword whole dbase_numrecords yellow
    keyword whole dbase_open yellow
    keyword whole dbase_pack yellow
    keyword whole dbase_replace_record yellow

# DBM Functions [deprecated]
    keyword whole dblist yellow
    keyword whole dbmclose yellow
    keyword whole dbmdelete yellow
    keyword whole dbmexists yellow
    keyword whole dbmfetch yellow
    keyword whole dbmfirstkey yellow
    keyword whole dbminsert yellow
    keyword whole dbmnextkey yellow
    keyword whole dbmopen yellow
    keyword whole dbmreplace yellow

# dbx Functions
    keyword whole dbx_close yellow
    keyword whole dbx_compare yellow
    keyword whole dbx_connect yellow
    keyword whole dbx_error yellow
    keyword whole dbx_escape_string yellow
    keyword whole dbx_fetch_row yellow
    keyword whole dbx_query yellow
    keyword whole dbx_sort yellow

# dbx Functions constants
    keyword whole DBX_CMP_ASC white
    keyword whole DBX_CMP_DESC white
    keyword whole DBX_CMP_NATIVE white
    keyword whole DBX_CMP_NUMBER white
    keyword whole DBX_CMP_TEXT white
    keyword whole DBX_COLNAMES_LOWERCASE white
    keyword whole DBX_COLNAMES_UNCHANGED white
    keyword whole DBX_COLNAMES_UPPERCASE white
    keyword whole DBX_FBSQL white
    keyword whole DBX_MSSQL white
    keyword whole DBX_MYSQL white
    keyword whole DBX_OCI8 white
    keyword whole DBX_ODBC white
    keyword whole DBX_PERSISTENT white
    keyword whole DBX_PGSQL white
    keyword whole DBX_RESULT_ASSOC white
    keyword whole DBX_RESULT_INDEX white
    keyword whole DBX_RESULT_INFO white
    keyword whole DBX_RESULT_UNBUFFERED white
    keyword whole DBX_SQLITE white
    keyword whole DBX_SYBASECT white

# Direct IO Functions
    keyword whole dio_close yellow
    keyword whole dio_fcntl yellow
    keyword whole dio_open yellow
    keyword whole dio_read yellow
    keyword whole dio_seek yellow
    keyword whole dio_stat yellow
    keyword whole dio_tcsetattr yellow
    keyword whole dio_truncate yellow
    keyword whole dio_write yellow

# Direct IO Functions constants
    keyword whole F_DUPFD white
    keyword whole F_GETFD white
    keyword whole F_GETFL white
    keyword whole F_GETLK white
    keyword whole F_GETOWN white
    keyword whole F_RDLCK white
    keyword whole F_SETFL white
    keyword whole F_SETLK white
    keyword whole F_SETLKW white
    keyword whole F_SETOWN white
    keyword whole F_UNLCK white
    keyword whole F_WRLCK white
    keyword whole O_APPEND white
    keyword whole O_ASYNC white
    keyword whole O_CREAT white
    keyword whole O_EXCL white
    keyword whole O_NDELAY white
    keyword whole O_NOCTTY white
    keyword whole O_NONBLOCK white
    keyword whole O_RDONLY white
    keyword whole O_RDWR white
    keyword whole O_SYNC white
    keyword whole O_TRUNC white
    keyword whole O_WRONLY white
    keyword whole S_IRGRP white
    keyword whole S_IROTH white
    keyword whole S_IRUSR white
    keyword whole S_IRWXG white
    keyword whole S_IRWXO white
    keyword whole S_IRWXU white
    keyword whole S_IWGRP white
    keyword whole S_IWOTH white
    keyword whole S_IWUSR white
    keyword whole S_IXGRP white
    keyword whole S_IXOTH white
    keyword whole S_IXUSR white
    keyword whole c white

# Directory Functions
    keyword whole chdir yellow
    keyword whole chroot yellow
    keyword whole closedir yellow
    keyword whole getcwd yellow
    keyword whole opendir yellow
    keyword whole readdir yellow
    keyword whole rewinddir yellow
    keyword whole scandir yellow

# Directory Functions constants
    keyword whole DIRECTORY_SEPARATOR white
    keyword whole PATH_SEPARATOR white

# DOM Functions
    keyword whole dom_import_simplexml yellow

# DOM Functions DOMAttr methods
    keyword whole DOMAttr yellow

# DOM Functions DOMCharacterData methods
    keyword whole DOMCharacterData yellow

# DOM Functions DOMComment methods
    keyword whole DOMComment yellow

# DOM Functions DOMDocument methods
    keyword whole DOMDocument yellow

# DOM Functions DOMElement methods
    keyword whole DOMElement yellow

# DOM Functions DOMImplementation methods
    keyword whole DOMImplementation yellow

# DOM Functions DOMNamedNodeMap methods
    keyword whole DOMNamedNodeMap yellow

# DOM Functions DOMNode methods
    keyword whole DOMNode yellow

# DOM Functions DOMNodelist methods
    keyword whole DOMNodelist yellow

# DOM Functions DOMProcessingInstruction methods
    keyword whole DOMProcessingInstruction yellow

# DOM Functions DOMText methods
    keyword whole DOMText yellow

# DOM Functions DOMXPath methods
    keyword whole DOMXPath yellow

# DOM Functions constants
    keyword whole DOMSTRING_SIZE_ERR white
    keyword whole DOM_HIERARCHY_REQUEST_ERR white
    keyword whole DOM_INDEX_SIZE_ERR white
    keyword whole DOM_INUSE_ATTRIBUTE_ERR white
    keyword whole DOM_INVALID_ACCESS_ERR white
    keyword whole DOM_INVALID_CHARACTER_ERR white
    keyword whole DOM_INVALID_MODIFICATION_ERR white
    keyword whole DOM_INVALID_STATE_ERR white
    keyword whole DOM_NAMESPACE_ERR white
    keyword whole DOM_NOT_FOUND_ERR white
    keyword whole DOM_NOT_SUPPORTED_ERR white
    keyword whole DOM_NO_DATA_ALLOWED_ERR white
    keyword whole DOM_NO_MODIFICATION_ALLOWED_ERR white
    keyword whole DOM_SYNTAX_ERR white
    keyword whole DOM_VALIDATION_ERR white
    keyword whole DOM_WRONG_DOCUMENT_ERR white
    keyword whole XML_ATTRIBUTE_CDATA white
    keyword whole XML_ATTRIBUTE_DECL_NODE white
    keyword whole XML_ATTRIBUTE_ENTITY white
    keyword whole XML_ATTRIBUTE_ENUMERATION white
    keyword whole XML_ATTRIBUTE_ID white
    keyword whole XML_ATTRIBUTE_IDREF white
    keyword whole XML_ATTRIBUTE_IDREFS white
    keyword whole XML_ATTRIBUTE_NMTOKEN white
    keyword whole XML_ATTRIBUTE_NMTOKENS white
    keyword whole XML_ATTRIBUTE_NODE white
    keyword whole XML_ATTRIBUTE_NOTATION white
    keyword whole XML_CDATA_SECTION_NODE white
    keyword whole XML_COMMENT_NODE white
    keyword whole XML_DOCUMENT_FRAG_NODE white
    keyword whole XML_DOCUMENT_NODE white
    keyword whole XML_DOCUMENT_TYPE_NODE white
    keyword whole XML_DTD_NODE white
    keyword whole XML_ELEMENT_DECL_NODE white
    keyword whole XML_ELEMENT_NODE white
    keyword whole XML_ENTITY_DECL_NODE white
    keyword whole XML_ENTITY_NODE white
    keyword whole XML_ENTITY_REF_NODE white
    keyword whole XML_HTML_DOCUMENT_NODE white
    keyword whole XML_NAMESPACE_DECL_NODE white
    keyword whole XML_NOTATION_NODE white
    keyword whole XML_PI_NODE white
    keyword whole XML_TEXT_NODE white

# DOM XML Functions
    keyword whole domxml_new_doc yellow
    keyword whole domxml_open_file yellow
    keyword whole domxml_open_mem yellow
    keyword whole domxml_version yellow
    keyword whole domxml_xmltree yellow
    keyword whole domxml_xslt_stylesheet yellow
    keyword whole domxml_xslt_stylesheet_doc yellow
    keyword whole domxml_xslt_stylesheet_file yellow
    keyword whole domxml_xslt_version yellow
    keyword whole xpath_eval yellow
    keyword whole xpath_eval_expression yellow
    keyword whole xpath_new_context yellow
    keyword whole xpath_register_ns yellow
    keyword whole xpath_register_ns_auto yellow
    keyword whole xptr_eval yellow
    keyword whole xptr_new_context yellow

# DOM XML Functions DomAttribute methods
    keyword whole DomAttribute yellow

# DOM XML Functions DomDocument methods
    keyword whole DomDocument yellow

# DOM XML Functions DomDocumentType methods
    keyword whole DomDocumentType yellow

# DOM XML Functions DomElement methods
    keyword whole DomElement yellow

# DOM XML Functions DomNode methods
    keyword whole DomNode yellow

# DOM XML Functions DomProcessingInstruction methods
    keyword whole DomProcessingInstruction yellow

# DOM XML Functions DomXsltStylesheet methods
    keyword whole DomXsltStylesheet yellow

# DOM XML Functions constants
    keyword whole XML\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] white
    keyword whole XPATH_BOOLEAN white
    keyword whole XPATH_LOCATIONSET white
    keyword whole XPATH_NODESET white
    keyword whole XPATH_NUMBER white
    keyword whole XPATH_POINT white
    keyword whole XPATH_RANGE white
    keyword whole XPATH_STRING white
    keyword whole XPATH_UNDEFINED white
    keyword whole XPATH_USERS white

# Error Handling and Logging Functions
    keyword whole debug_backtrace yellow
    keyword whole debug_print_backtrace yellow
    keyword whole error_log yellow
    keyword whole error_reporting yellow
    keyword whole restore_error_handler yellow
    keyword whole restore_exception_handler yellow
    keyword whole set_error_handler yellow
    keyword whole set_exception_handler yellow
    keyword whole trigger_error yellow
    keyword whole user_error yellow

# Error Handling and Logging Functions constants
    keyword whole E_ALL white
    keyword whole E_COMPILE_ERROR white
    keyword whole E_COMPILE_WARNING white
    keyword whole E_CORE_ERROR white
    keyword whole E_CORE_WARNING white
    keyword whole E_ERROR white
    keyword whole E_NOTICE white
    keyword whole E_PARSE white
    keyword whole E_STRICT white
    keyword whole E_USER_ERROR white
    keyword whole E_USER_NOTICE white
    keyword whole E_USER_WARNING white
    keyword whole E_WARNING white

# Exif Functions
    keyword whole exif_imagetype yellow
    keyword whole exif_read_data yellow
    keyword whole exif_tagname yellow
    keyword whole exif_thumbnail yellow
    keyword whole read_exif_data yellow

# Exif Functions constants
    keyword whole EXIF_USE_MBSTRING white

# Expect Functions
    keyword whole expect_expectl yellow
    keyword whole expect_popen yellow

# Expect Functions constants
    keyword whole EXP_EOF white
    keyword whole EXP_EXACT white
    keyword whole EXP_FULLBUFFER white
    keyword whole EXP_GLOB white
    keyword whole EXP_REGEXP white
    keyword whole EXP_TIMEOUT white

# File Alteration Monitor Functions
    keyword whole fam\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# File Alteration Monitor Functions constants
    keyword whole FAM\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] white

# Forms Data Format Functions
    keyword whole fdf\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# Forms Data Format Functions constants
    keyword whole FDF\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] white

# filePro Functions
    keyword whole filepro yellow
    keyword whole filepro\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# Filesystem Functions
    keyword whole basename yellow
    keyword whole chgrp yellow
    keyword whole chmod yellow
    keyword whole chown yellow
    keyword whole clearstatcache yellow
    keyword whole copy yellow
    keyword whole delete yellow
    keyword whole dirname yellow
    keyword whole disk_free_space yellow
    keyword whole disk_total_space yellow
    keyword whole diskfreespace yellow
    keyword whole fclose yellow
    keyword whole feof yellow
    keyword whole fflush yellow
    keyword whole fgetc yellow
    keyword whole fgetcsv yellow
    keyword whole fgets yellow
    keyword whole fgetss yellow
    keyword whole file yellow
    keyword whole file_exists yellow
    keyword whole file_get_contents yellow
    keyword whole file_put_contents yellow
    keyword whole fileatime yellow
    keyword whole filectime yellow
    keyword whole filegroup yellow
    keyword whole fileinode yellow
    keyword whole filemtime yellow
    keyword whole fileowner yellow
    keyword whole fileperms yellow
    keyword whole filesize yellow
    keyword whole filetype yellow
    keyword whole flock yellow
    keyword whole fnmatch yellow
    keyword whole fopen yellow
    keyword whole fpassthru yellow
    keyword whole fputcsv yellow
    keyword whole fputs yellow
    keyword whole fread yellow
    keyword whole fscanf yellow
    keyword whole fseek yellow
    keyword whole fstat yellow
    keyword whole ftell yellow
    keyword whole ftruncate yellow
    keyword whole fwrite yellow
    keyword whole glob yellow
    keyword whole is_dir yellow
    keyword whole is_executable yellow
    keyword whole is_file yellow
    keyword whole is_link yellow
    keyword whole is_readable yellow
    keyword whole is_uploaded_file yellow
    keyword whole is_writable yellow
    keyword whole is_writeable yellow
    keyword whole link yellow
    keyword whole linkinfo yellow
    keyword whole lstat yellow
    keyword whole mkdir yellow
    keyword whole move_uploaded_file yellow
    keyword whole parse_ini_file yellow
    keyword whole pathinfo yellow
    keyword whole pclose yellow
    keyword whole popen yellow
    keyword whole readfile yellow
    keyword whole readlink yellow
    keyword whole realpath yellow
    keyword whole rename yellow
    keyword whole rewind yellow
    keyword whole rmdir yellow
    keyword whole set_file_buffer yellow
    keyword whole stat yellow
    keyword whole symlink yellow
    keyword whole tempnam yellow
    keyword whole tmpfile yellow
    keyword whole touch yellow
    keyword whole umask yellow
    keyword whole unlink yellow

# Filesystem Functions constants
    keyword whole FILE_APPEND white
    keyword whole FILE_IGNORE_NEW_LINES white
    keyword whole FILE_SKIP_EMPTY_LINES white
    keyword whole FILE_USE_INCLUDE_PATH white
    keyword whole GLOB_BRACE white
    keyword whole GLOB_MARK white
    keyword whole GLOB_NOCHECK white
    keyword whole GLOB_NOESCAPE white
    keyword whole GLOB_NOSORT white
    keyword whole GLOB_ONLYDIR white
    keyword whole PATHINFO_BASENAME white
    keyword whole PATHINFO_DIRNAME white
    keyword whole PATHINFO_EXTENSION white

# Firebird/InterBase Functions
    keyword whole ibase\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# Firebird/InterBase Functions constants
    keyword whole IBASE\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] white

# Firebird/Interbase Functions (PDO_FIREBIRD)

# FriBiDi Functions
    keyword whole fribidi_log2vis yellow

# FriBiDi Functions constants
    keyword whole FRIBIDI_CHARSET_8859_6 white
    keyword whole FRIBIDI_CHARSET_8859_8 white
    keyword whole FRIBIDI_CHARSET_CP1255 white
    keyword whole FRIBIDI_CHARSET_CP1256 white
    keyword whole FRIBIDI_CHARSET_ISIRI_3342 white
    keyword whole FRIBIDI_CHARSET_UTF8 white

# FrontBase Functions
    keyword whole fbsql\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# FrontBase Functions constants
    keyword whole FBSQL\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] white

# FTP Functions
    keyword whole ftp\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# FTP Functions constants
    keyword whole FTP\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] white

# Function Handling Functions
    keyword whole call_user_func yellow
    keyword whole call_user_func_array yellow
    keyword whole create_function yellow
    keyword whole func_get_arg yellow
    keyword whole func_get_args yellow
    keyword whole func_num_args yellow
    keyword whole function_exists yellow
    keyword whole get_defined_functions yellow
    keyword whole register_shutdown_function yellow
    keyword whole register_tick_function yellow
    keyword whole unregister_tick_function yellow

# Gettext
    keyword whole bind_textdomain_codeset yellow
    keyword whole bindtextdomain yellow
    keyword whole dcgettext yellow
    keyword whole dcngettext yellow
    keyword whole dgettext yellow
    keyword whole dngettext yellow
    keyword whole gettext yellow
    keyword whole ngettext yellow
    keyword whole textdomain yellow

# GMP Functions
    keyword whole gmp\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# GMP Functions constants
    keyword whole GMP\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] white

# Net_Gopher
    keyword whole gopher_parsedir yellow

# HTTP Functions
    keyword whole header yellow
    keyword whole headers_list yellow
    keyword whole headers_sent yellow
    keyword whole setcookie yellow
    keyword whole setrawcookie yellow

# Hyperwave Functions
    keyword whole hw\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# Hyperwave Functions constants
    keyword whole HW\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] white

# Hyperwave API Functions
    keyword whole hwapi_hgcsp yellow

# IBM DB2, Cloudscape and Apache Derby Functions
    keyword whole db2\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# IBM DB2, Cloudscape and Apache Derby Functions constants
    keyword whole DB2\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] white
    keyword whole NULL white

# ICAP Functions [deprecated]
    keyword whole icap\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# iconv Functions
    keyword whole iconv yellow
    keyword whole iconv\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow
    keyword whole ob_iconv_handler yellow

# iconv Functions constants
    keyword whole ICONV\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] white

# ID3 Functions
    keyword whole id3_get_frame_long_name yellow
    keyword whole id3_get_frame_short_name yellow
    keyword whole id3_get_genre_id yellow
    keyword whole id3_get_genre_list yellow
    keyword whole id3_get_genre_name yellow
    keyword whole id3_get_tag yellow
    keyword whole id3_get_version yellow
    keyword whole id3_remove_tag yellow
    keyword whole id3_set_tag yellow

# ID3 Functions constants
    keyword whole ID3_BEST white
    keyword whole ID3_V1_0 white
    keyword whole ID3_V1_1 white
    keyword whole ID3_V2_1 white
    keyword whole ID3_V2_2 white
    keyword whole ID3_V2_3 white
    keyword whole ID3_V2_4 white

# IIS Administration Functions
    keyword whole iis_add_server yellow
    keyword whole iis_get_dir_security yellow
    keyword whole iis_get_script_map yellow
    keyword whole iis_get_server_by_comment yellow
    keyword whole iis_get_server_by_path yellow
    keyword whole iis_get_server_rights yellow
    keyword whole iis_get_service_state yellow
    keyword whole iis_remove_server yellow
    keyword whole iis_set_app_settings yellow
    keyword whole iis_set_dir_security yellow
    keyword whole iis_set_script_map yellow
    keyword whole iis_set_server_rights yellow
    keyword whole iis_start_server yellow
    keyword whole iis_start_service yellow
    keyword whole iis_stop_server yellow
    keyword whole iis_stop_service yellow

# Image Functions
    keyword whole gd_info yellow
    keyword whole getimagesize yellow
    keyword whole image2wbmp yellow
    keyword whole image_type_to_extension yellow
    keyword whole image_type_to_mime_type yellow
    keyword whole imagealphablending yellow
    keyword whole imageantialias yellow
    keyword whole imagearc yellow
    keyword whole imagechar yellow
    keyword whole imagecharup yellow
    keyword whole imagecolorallocate yellow
    keyword whole imagecolorallocatealpha yellow
    keyword whole imagecolorat yellow
    keyword whole imagecolorclosest yellow
    keyword whole imagecolorclosestalpha yellow
    keyword whole imagecolorclosesthwb yellow
    keyword whole imagecolordeallocate yellow
    keyword whole imagecolorexact yellow
    keyword whole imagecolorexactalpha yellow
    keyword whole imagecolormatch yellow
    keyword whole imagecolorresolve yellow
    keyword whole imagecolorresolvealpha yellow
    keyword whole imagecolorset yellow
    keyword whole imagecolorsforindex yellow
    keyword whole imagecolorstotal yellow
    keyword whole imagecolortransparent yellow
    keyword whole imageconvolution yellow
    keyword whole imagecopy yellow
    keyword whole imagecopymerge yellow
    keyword whole imagecopymergegray yellow
    keyword whole imagecopyresampled yellow
    keyword whole imagecopyresized yellow
    keyword whole imagecreate yellow
    keyword whole imagecreatefromgd yellow
    keyword whole imagecreatefromgd2 yellow
    keyword whole imagecreatefromgd2part yellow
    keyword whole imagecreatefromgif yellow
    keyword whole imagecreatefromjpeg yellow
    keyword whole imagecreatefrompng yellow
    keyword whole imagecreatefromstring yellow
    keyword whole imagecreatefromwbmp yellow
    keyword whole imagecreatefromxbm yellow
    keyword whole imagecreatefromxpm yellow
    keyword whole imagecreatetruecolor yellow
    keyword whole imagedashedline yellow
    keyword whole imagedestroy yellow
    keyword whole imageellipse yellow
    keyword whole imagefill yellow
    keyword whole imagefilledarc yellow
    keyword whole imagefilledellipse yellow
    keyword whole imagefilledpolygon yellow
    keyword whole imagefilledrectangle yellow
    keyword whole imagefilltoborder yellow
    keyword whole imagefilter yellow
    keyword whole imagefontheight yellow
    keyword whole imagefontwidth yellow
    keyword whole imageftbbox yellow
    keyword whole imagefttext yellow
    keyword whole imagegammacorrect yellow
    keyword whole imagegd yellow
    keyword whole imagegd2 yellow
    keyword whole imagegif yellow
    keyword whole imageinterlace yellow
    keyword whole imageistruecolor yellow
    keyword whole imagejpeg yellow
    keyword whole imagelayereffect yellow
    keyword whole imageline yellow
    keyword whole imageloadfont yellow
    keyword whole imagepalettecopy yellow
    keyword whole imagepng yellow
    keyword whole imagepolygon yellow
    keyword whole imagepsbbox yellow
    keyword whole imagepscopyfont yellow
    keyword whole imagepsencodefont yellow
    keyword whole imagepsextendfont yellow
    keyword whole imagepsfreefont yellow
    keyword whole imagepsloadfont yellow
    keyword whole imagepsslantfont yellow
    keyword whole imagepstext yellow
    keyword whole imagerectangle yellow
    keyword whole imagerotate yellow
    keyword whole imagesavealpha yellow
    keyword whole imagesetbrush yellow
    keyword whole imagesetpixel yellow
    keyword whole imagesetstyle yellow
    keyword whole imagesetthickness yellow
    keyword whole imagesettile yellow
    keyword whole imagestring yellow
    keyword whole imagestringup yellow
    keyword whole imagesx yellow
    keyword whole imagesy yellow
    keyword whole imagetruecolortopalette yellow
    keyword whole imagettfbbox yellow
    keyword whole imagettftext yellow
    keyword whole imagetypes yellow
    keyword whole imagewbmp yellow
    keyword whole imagexbm yellow
    keyword whole iptcembed yellow
    keyword whole iptcparse yellow
    keyword whole jpeg2wbmp yellow
    keyword whole png2wbmp yellow

# Image Functions constants
    keyword whole IMAGETYPE_BMP white
    keyword whole IMAGETYPE_GIF white
    keyword whole IMAGETYPE_IFF white
    keyword whole IMAGETYPE_JB2 white
    keyword whole IMAGETYPE_JP2 white
    keyword whole IMAGETYPE_JPC white
    keyword whole IMAGETYPE_JPEG white
    keyword whole IMAGETYPE_JPX white
    keyword whole IMAGETYPE_PNG white
    keyword whole IMAGETYPE_PSD white
    keyword whole IMAGETYPE_SWC white
    keyword whole IMAGETYPE_SWF white
    keyword whole IMAGETYPE_TIFF_II white
    keyword whole IMAGETYPE_TIFF_MM white
    keyword whole IMAGETYPE_WBMP white
    keyword whole IMAGETYPE_XBM white
    keyword whole IMG_ARC_CHORD white
    keyword whole IMG_ARC_EDGED white
    keyword whole IMG_ARC_NOFILL white
    keyword whole IMG_ARC_PIE white
    keyword whole IMG_ARC_ROUNDED white
    keyword whole IMG_COLOR_BRUSHED white
    keyword whole IMG_COLOR_STYLED white
    keyword whole IMG_COLOR_STYLEDBRUSHED white
    keyword whole IMG_COLOR_TILED white
    keyword whole IMG_COLOR_TRANSPARENT white
    keyword whole IMG_GIF white
    keyword whole IMG_JPEG white
    keyword whole IMG_JPG white
    keyword whole IMG_PNG white
    keyword whole IMG_WBMP white
    keyword whole IMG_XPM white

# IMAP, POP3 and NNTP Functions
    keyword whole imap\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# IMAP, POP3 and NNTP Functions constants
    keyword whole CL_EXPUNGE white
    keyword whole CP_MOVE white
    keyword whole CP_UID white
    keyword whole ENC7BIT white
    keyword whole ENC8BIT white
    keyword whole ENCBASE64 white
    keyword whole ENCBINARY white
    keyword whole ENCOTHER white
    keyword whole ENCQUOTEDPRINTABLE white
    keyword whole FT_INTERNAL white
    keyword whole FT_NOT white
    keyword whole FT_PEEK white
    keyword whole FT_PREFETCHTEXT white
    keyword whole FT_UID white
    keyword whole LATT_MARKED white
    keyword whole LATT_NOINFERIORS white
    keyword whole LATT_NOSELECT white
    keyword whole LATT_UNMARKED white
    keyword whole NIL white
    keyword whole OP_ANONYMOUS white
    keyword whole OP_DEBUG white
    keyword whole OP_EXPUNGE white
    keyword whole OP_HALFOPEN white
    keyword whole OP_PROTOTYPE white
    keyword whole OP_READONLY white
    keyword whole OP_SECURE white
    keyword whole OP_SHORTCACHE white
    keyword whole OP_SILENT white
    keyword whole SA_ALL white
    keyword whole SA_MESSAGES white
    keyword whole SA_RECENT white
    keyword whole SA_UIDNEXT white
    keyword whole SA_UIDVALIDITY white
    keyword whole SA_UNSEEN white
    keyword whole SE_FREE white
    keyword whole SE_NOPREFETCH white
    keyword whole SE_UID white
    keyword whole SORTARRIVAL white
    keyword whole SORTCC white
    keyword whole SORTDATE white
    keyword whole SORTFROM white
    keyword whole SORTSIZE white
    keyword whole SORTSUBJECT white
    keyword whole SORTTO white
    keyword whole SO_FREE white
    keyword whole SO_NOSERVER white
    keyword whole ST_SET white
    keyword whole ST_SILENT white
    keyword whole ST_UID white
    keyword whole TYPEAPPLICATION white
    keyword whole TYPEAUDIO white
    keyword whole TYPEIMAGE white
    keyword whole TYPEMESSAGE white
    keyword whole TYPEMULTIPART white
    keyword whole TYPEOTHER white
    keyword whole TYPETEXT white
    keyword whole TYPEVIDEO white

# Informix Functions
    keyword whole ifx\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# Ingres II Functions
    keyword whole ingres\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# Ingres II Functions constants
    keyword whole INGRES\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] white

# IRC Gateway Functions
    keyword whole ircg\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# PHP / Java Integration
    keyword whole java_last_exception_clear yellow
    keyword whole java_last_exception_get yellow

# KADM5
    keyword whole kadm5\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# KADM5 constants
    keyword whole KADM5_ATTRIBUTES white
    keyword whole KADM5_CLEARPOLICY white
    keyword whole KADM5_FAIL_AUTH_COUNT white
    keyword whole KADM5_KVNO white
    keyword whole KADM5_LAST_FAILED white
    keyword whole KADM5_LAST_PW_CHANGE white
    keyword whole KADM5_LAST_SUCCESS white
    keyword whole KADM5_MAX_LIFE white
    keyword whole KADM5_MAX_RLIFE white
    keyword whole KADM5_MOD_NAME white
    keyword whole KADM5_MOD_TIME white
    keyword whole KADM5_POLICY white
    keyword whole KADM5_PRINCIPAL white
    keyword whole KADM5_PRINC_EXPIRE_TIME white
    keyword whole KADM5_PW_EXPIRATION white
    keyword whole KADM5_RANDKEY white
    keyword whole KRB5_KDB_DISALLOW_ALL_TIX white
    keyword whole KRB5_KDB_DISALLOW_DUP_SKEY white
    keyword whole KRB5_KDB_DISALLOW_FORWARDABLE white
    keyword whole KRB5_KDB_DISALLOW_POSTDATED white
    keyword whole KRB5_KDB_DISALLOW_PROXIABLE white
    keyword whole KRB5_KDB_DISALLOW_RENEWABLE white
    keyword whole KRB5_KDB_DISALLOW_SVR white
    keyword whole KRB5_KDB_DISALLOW_TGT_BASED white
    keyword whole KRB5_KDB_NEW_PRINC white
    keyword whole KRB5_KDB_PWCHANGE_SERVER white
    keyword whole KRB5_KDB_REQUIRES_HW_AUTH white
    keyword whole KRB5_KDB_REQUIRES_PRE_AUTH white
    keyword whole KRB5_KDB_REQUIRES_PWCHANGE white
    keyword whole KRB5_KDB_SUPPORT_DESMD5 white

# LDAP Functions
    keyword whole ldap\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# LDAP Functions constants
    keyword whole GSLC_SSL_NO_AUTH white
    keyword whole GSLC_SSL_ONEWAY_AUTH white
    keyword whole GSLC_SSL_TWOWAY_AUTH white
    keyword whole LDAP_DEREF_ALWAYS white
    keyword whole LDAP_DEREF_FINDING white
    keyword whole LDAP_DEREF_NEVER white
    keyword whole LDAP_DEREF_SEARCHING white
    keyword whole LDAP_OPT_CLIENT_CONTROLS white
    keyword whole LDAP_OPT_DEBUG_LEVEL white
    keyword whole LDAP_OPT_DEREF white
    keyword whole LDAP_OPT_ERROR_NUMBER white
    keyword whole LDAP_OPT_ERROR_STRING white
    keyword whole LDAP_OPT_HOST_NAME white
    keyword whole LDAP_OPT_MATCHED_DN white
    keyword whole LDAP_OPT_PROTOCOL_VERSION white
    keyword whole LDAP_OPT_REFERRALS white
    keyword whole LDAP_OPT_RESTART white
    keyword whole LDAP_OPT_SERVER_CONTROLS white
    keyword whole LDAP_OPT_SIZELIMIT white
    keyword whole LDAP_OPT_TIMELIMIT white

# libxml Functions
    keyword whole libxml_clear_errors yellow
    keyword whole libxml_get_errors yellow
    keyword whole libxml_get_last_error yellow
    keyword whole libxml_set_streams_context yellow
    keyword whole libxml_use_internal_errors yellow

# libxml Functions constants
    keyword whole LIBXML_COMPACT white
    keyword whole LIBXML_DOTTED_VERSION white
    keyword whole LIBXML_DTDATTR white
    keyword whole LIBXML_DTDLOAD white
    keyword whole LIBXML_DTDVALID white
    keyword whole LIBXML_ERR_ERROR white
    keyword whole LIBXML_ERR_FATAL white
    keyword whole LIBXML_ERR_NONE white
    keyword whole LIBXML_ERR_WARNING white
    keyword whole LIBXML_NOBLANKS white
    keyword whole LIBXML_NOCDATA white
    keyword whole LIBXML_NOEMPTYTAG white
    keyword whole LIBXML_NOENT white
    keyword whole LIBXML_NOERROR white
    keyword whole LIBXML_NONET white
    keyword whole LIBXML_NOWARNING white
    keyword whole LIBXML_NOXMLDECL white
    keyword whole LIBXML_NSCLEAN white
    keyword whole LIBXML_VERSION white
    keyword whole LIBXML_XINCLUDE white

# Lotus Notes Functions
    keyword whole notes\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# LZF Functions
    keyword whole lzf_compress yellow
    keyword whole lzf_decompress yellow
    keyword whole lzf_optimized_for yellow

# Mail Functions
    keyword whole ezmlm_hash yellow
    keyword whole mail yellow

# mailparse Functions
    keyword whole mailparse\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# Mathematical Functions
    keyword whole abs yellow
    keyword whole acos yellow
    keyword whole acosh yellow
    keyword whole asin yellow
    keyword whole asinh yellow
    keyword whole atan yellow
    keyword whole atan2 yellow
    keyword whole atanh yellow
    keyword whole base_convert yellow
    keyword whole bindec yellow
    keyword whole ceil yellow
    keyword whole cos yellow
    keyword whole cosh yellow
    keyword whole decbin yellow
    keyword whole dechex yellow
    keyword whole decoct yellow
    keyword whole deg2rad yellow
    keyword whole exp yellow
    keyword whole expm1 yellow
    keyword whole floor yellow
    keyword whole fmod yellow
    keyword whole getrandmax yellow
    keyword whole hexdec yellow
    keyword whole hypot yellow
    keyword whole is_finite yellow
    keyword whole is_infinite yellow
    keyword whole is_nan yellow
    keyword whole lcg_value yellow
    keyword whole log yellow
    keyword whole log10 yellow
    keyword whole log1p yellow
    keyword whole max yellow
    keyword whole min yellow
    keyword whole mt_getrandmax yellow
    keyword whole mt_rand yellow
    keyword whole mt_srand yellow
    keyword whole octdec yellow
    keyword whole pi yellow
    keyword whole pow yellow
    keyword whole rad2deg yellow
    keyword whole rand yellow
    keyword whole round yellow
    keyword whole sin yellow
    keyword whole sinh yellow
    keyword whole sqrt yellow
    keyword whole srand yellow
    keyword whole tan yellow
    keyword whole tanh yellow

# Mathematical Functions constants
    keyword whole M_1_PI white
    keyword whole M_2_PI white
    keyword whole M_2_SQRTPI white
    keyword whole M_E white
    keyword whole M_EULER white
    keyword whole M_LN10 white
    keyword whole M_LN2 white
    keyword whole M_LNPI white
    keyword whole M_LOG10E white
    keyword whole M_LOG2E white
    keyword whole M_PI white
    keyword whole M_PI_2 white
    keyword whole M_PI_4 white
    keyword whole M_SQRT1_2 white
    keyword whole M_SQRT2 white
    keyword whole M_SQRT3 white
    keyword whole M_SQRTPI white

# MaxDB PHP Extension
    keyword whole maxdb\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# MaxDB PHP Extension constants
    keyword whole MAXDB_APPLICATION white
    keyword whole MAXDB_APPVERSION white
    keyword whole MAXDB_ASSOC white
    keyword whole MAXDB_ASSOC_LOWER white
    keyword whole MAXDB_ASSOC_UPPER white
    keyword whole MAXDB_BOTH white
    keyword whole MAXDB_COMPNAME white
    keyword whole MAXDB_CURSORPREFIX white
    keyword whole MAXDB_ISOLATIONLEVEL white
    keyword whole MAXDB_NUM white
    keyword whole MAXDB_PACKETCOUNT white
    keyword whole MAXDB_SQLMODE white
    keyword whole MAXDB_STATEMENTCACHESIZE white
    keyword whole MAXDB_TIMEOUT white
    keyword whole MAXDB_UNICODE white

# MCAL Functions
    keyword whole mcal\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# MCAL Functions constants
    keyword whole MCAL_APRIL white
    keyword whole MCAL_AUGUST white
    keyword whole MCAL_DECEMBER white
    keyword whole MCAL_FEBRUARY white
    keyword whole MCAL_FRIDAY white
    keyword whole MCAL_JANUARY white
    keyword whole MCAL_JULY white
    keyword whole MCAL_JUNE white
    keyword whole MCAL_MARCH white
    keyword whole MCAL_MAY white
    keyword whole MCAL_MONDAY white
    keyword whole MCAL_M_ALLDAYS white
    keyword whole MCAL_M_FRIDAY white
    keyword whole MCAL_M_MONDAY white
    keyword whole MCAL_M_SATURDAY white
    keyword whole MCAL_M_SUNDAY white
    keyword whole MCAL_M_THURSDAY white
    keyword whole MCAL_M_TUESDAY white
    keyword whole MCAL_M_WEDNESDAY white
    keyword whole MCAL_M_WEEKDAYS white
    keyword whole MCAL_M_WEEKEND white
    keyword whole MCAL_NOVEMBER white
    keyword whole MCAL_OCTOBER white
    keyword whole MCAL_RECUR_DAILY white
    keyword whole MCAL_RECUR_MONTHLY_MDAY white
    keyword whole MCAL_RECUR_MONTHLY_WDAY white
    keyword whole MCAL_RECUR_NONE white
    keyword whole MCAL_RECUR_WEEKLY white
    keyword whole MCAL_RECUR_YEARLY white
    keyword whole MCAL_SATURDAY white
    keyword whole MCAL_SEPTEMBER white
    keyword whole MCAL_SUNDAY white
    keyword whole MCAL_THURSDAY white
    keyword whole MCAL_TUESDAY white
    keyword whole MCAL_WEDNESDAY white

# Mcrypt Encryption Functions
    keyword whole mcrypt\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow
    keyword whole mdecrypt_generic yellow

# Mcrypt Encryption Functions constants
    keyword whole MCRYPT_DECRYPT white
    keyword whole MCRYPT_DEV_RANDOM white
    keyword whole MCRYPT_DEV_URANDOM white
    keyword whole MCRYPT_ENCRYPT white
    keyword whole MCRYPT_RAND white

# MCVE Payment Functions
    keyword whole mcve\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# MCVE Payment Functions constants
    keyword whole MC\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] white

# Memcache Functions
    keyword whole memcache_debug yellow

# Memcache Functions Memcache methods
    keyword whole Memcache yellow

# Memcache Functions constants
    keyword whole MEMCACHE_COMPRESSED white

# Mhash Functions
    keyword whole mhash yellow
    keyword whole mhash_count yellow
    keyword whole mhash_get_block_size yellow
    keyword whole mhash_get_hash_name yellow
    keyword whole mhash_keygen_s2k yellow

# Mhash Functions constants
    keyword whole MHASH_ADLER32 white
    keyword whole MHASH_CRC32 white
    keyword whole MHASH_CRC32B white
    keyword whole MHASH_GOST white
    keyword whole MHASH_HAVAL128 white
    keyword whole MHASH_HAVAL160 white
    keyword whole MHASH_HAVAL192 white
    keyword whole MHASH_HAVAL256 white
    keyword whole MHASH_MD4 white
    keyword whole MHASH_MD5 white
    keyword whole MHASH_RIPEMD160 white
    keyword whole MHASH_SHA1 white
    keyword whole MHASH_SHA256 white
    keyword whole MHASH_TIGER white
    keyword whole MHASH_TIGER128 white
    keyword whole MHASH_TIGER160 white

# Mimetype Functions
    keyword whole mime_content_type yellow

# Ming functions for Flash
    keyword whole SWFAction yellow
    keyword whole SWFBitmap yellow
    keyword whole SWFDisplayItem yellow
    keyword whole SWFFill yellow
    keyword whole SWFFont yellow
    keyword whole SWFGradient yellow
    keyword whole SWFMorph yellow
    keyword whole SWFMovie yellow
    keyword whole SWFShape yellow
    keyword whole SWFSprite yellow
    keyword whole SWFText yellow
    keyword whole SWFTextField yellow
    keyword whole SWFbutton yellow
    keyword whole ming_setcubicthreshold yellow
    keyword whole ming_setscale yellow
    keyword whole ming_useswfversion yellow
    keyword whole swfbutton_keypress yellow

# Ming functions for Flash SWFBitmap methods
    keyword whole SWFBitmap yellow

# Ming functions for Flash SWFDisplayItem methods
    keyword whole SWFDisplayItem yellow

# Ming functions for Flash SWFFill methods
    keyword whole SWFFill yellow

# Ming functions for Flash SWFGradient methods
    keyword whole SWFGradient yellow

# Ming functions for Flash SWFMorph methods
    keyword whole SWFMorph yellow

# Ming functions for Flash SWFMovie methods
    keyword whole SWFMovie yellow

# Ming functions for Flash SWFShape methods
    keyword whole SWFShape yellow

# Ming functions for Flash SWFSprite methods
    keyword whole SWFSprite yellow

# Ming functions for Flash SWFText methods
    keyword whole SWFText yellow

# Ming functions for Flash SWFTextField methods
    keyword whole SWFTextField yellow

# Ming functions for Flash SWFbutton methods
    keyword whole SWFbutton yellow

# Ming functions for Flash swffont methods
    keyword whole swffont yellow

# Ming functions for Flash swfmovie methods
    keyword whole swfmovie yellow

# Ming functions for Flash swfsprite methods
    keyword whole swfsprite yellow

# Ming functions for Flash constants
    keyword whole SWFACTION_DATA white
    keyword whole SWFACTION_ENTERFRAME white
    keyword whole SWFACTION_KEYDOWN white
    keyword whole SWFACTION_KEYUP white
    keyword whole SWFACTION_MOUSEDOWN white
    keyword whole SWFACTION_MOUSEMOVE white
    keyword whole SWFACTION_MOUSEUP white
    keyword whole SWFACTION_ONLOAD white
    keyword whole SWFACTION_UNLOAD white
    keyword whole SWFBUTTON_DOWN white
    keyword whole SWFBUTTON_DRAGOUT white
    keyword whole SWFBUTTON_DRAGOVER white
    keyword whole SWFBUTTON_HIT white
    keyword whole SWFBUTTON_MOUSEDOWN white
    keyword whole SWFBUTTON_MOUSEOUT white
    keyword whole SWFBUTTON_MOUSEOVER white
    keyword whole SWFBUTTON_MOUSEUP white
    keyword whole SWFBUTTON_MOUSEUPOUTSIDE white
    keyword whole SWFBUTTON_OVER white
    keyword whole SWFBUTTON_UP white
    keyword whole SWFFILL_CLIPPED_BITMAP white
    keyword whole SWFFILL_LINEAR_GRADIENT white
    keyword whole SWFFILL_RADIAL_GRADIENT white
    keyword whole SWFFILL_TILED_BITMAP white
    keyword whole SWFTEXTFIELD_ALIGN_CENTER white
    keyword whole SWFTEXTFIELD_ALIGN_JUSTIFY white
    keyword whole SWFTEXTFIELD_ALIGN_LEFT white
    keyword whole SWFTEXTFIELD_ALIGN_RIGHT white
    keyword whole SWFTEXTFIELD_DRAWBOX white
    keyword whole SWFTEXTFIELD_HASLENGTH white
    keyword whole SWFTEXTFIELD_HTML white
    keyword whole SWFTEXTFIELD_MULTILINE white
    keyword whole SWFTEXTFIELD_NOEDIT white
    keyword whole SWFTEXTFIELD_NOSELECT white
    keyword whole SWFTEXTFIELD_PASSWORD white
    keyword whole SWFTEXTFIELD_WORDWRAP white

# Miscellaneous Functions
    keyword whole __halt_compiler yellow
    keyword whole connection_aborted yellow
    keyword whole connection_status yellow
    keyword whole connection_timeout yellow
    keyword whole constant yellow
    keyword whole define yellow
    keyword whole defined yellow
    keyword whole die yellow
    keyword whole eval yellow
    keyword whole exit yellow
    keyword whole get_browser yellow
    keyword whole highlight_file yellow
    keyword whole highlight_string yellow
    keyword whole ignore_user_abort yellow
    keyword whole pack yellow
    keyword whole php_check_syntax yellow
    keyword whole php_strip_whitespace yellow
    keyword whole show_source yellow
    keyword whole sleep yellow
    keyword whole time_nanosleep yellow
    keyword whole time_sleep_until yellow
    keyword whole uniqid yellow
    keyword whole unpack yellow
    keyword whole usleep yellow

# Miscellaneous Functions constants
    keyword whole CONNECTION_ABORTED white
    keyword whole CONNECTION_NORMAL white
    keyword whole CONNECTION_TIMEOUT white
    keyword whole __COMPILER_HALT_OFFSET__ white

# mnoGoSearch Functions
    keyword whole udm\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# mnoGoSearch Functions constants
    keyword whole UDM\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] white

# Microsoft SQL Server Functions
    keyword whole mssql\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# Microsoft SQL Server Functions constants
    keyword whole MSSQL_ASSOC white
    keyword whole MSSQL_BOTH white
    keyword whole MSSQL_NUM white
    keyword whole SQLBIT white
    keyword whole SQLCHAR white
    keyword whole SQLFLT8 white
    keyword whole SQLINT1 white
    keyword whole SQLINT2 white
    keyword whole SQLINT4 white
    keyword whole SQLTEXT white
    keyword whole SQLVARCHAR white

# Microsoft SQL Server and Sybase Functions (PDO_DBLIB)

# Mohawk Software Session Handler Functions
    keyword whole msession\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# mSQL Functions
    keyword whole msql\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# mSQL Functions constants
    keyword whole MSQL_ASSOC white
    keyword whole MSQL_BOTH white
    keyword whole MSQL_NUM white

# Multibyte String Functions
    keyword whole mb\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# Multibyte String Functions constants
    keyword whole MB_OVERLOAD_MAIL white
    keyword whole MB_OVERLOAD_REGEX white
    keyword whole MB_OVERLOAD_STRING white

# muscat Functions
    keyword whole muscat\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# MySQL Functions
    keyword whole mysql\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# MySQL Functions constants
    keyword whole MYSQL_ASSOC white
    keyword whole MYSQL_BOTH white
    keyword whole MYSQL_CLIENT_COMPRESS white
    keyword whole MYSQL_CLIENT_IGNORE_SPACE white
    keyword whole MYSQL_CLIENT_INTERACTIVE white
    keyword whole MYSQL_CLIENT_SSL white
    keyword whole MYSQL_NUM white

# MySQL Functions (PDO_MYSQL)

# MySQL Functions (PDO_MYSQL) constants
    keyword whole PDO_ATTR_DRIVER_NAME white
    keyword whole PDO_MYSQL_ATTR_USE_BUFFERED_QUERY white
    keyword whole TRUE white

# MySQL Improved Extension
    keyword whole mysqli\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# MySQL Improved Extension constants
    keyword whole MYSQLI\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] white

# Ncurses Terminal Screen Control Functions
    keyword whole ncurses\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# Network Functions
    keyword whole checkdnsrr yellow
    keyword whole closelog yellow
    keyword whole debugger_off yellow
    keyword whole debugger_on yellow
    keyword whole define_syslog_variables yellow
    keyword whole dns_check_record yellow
    keyword whole dns_get_mx yellow
    keyword whole dns_get_record yellow
    keyword whole fsockopen yellow
    keyword whole gethostbyaddr yellow
    keyword whole gethostbyname yellow
    keyword whole gethostbynamel yellow
    keyword whole getmxrr yellow
    keyword whole getprotobyname yellow
    keyword whole getprotobynumber yellow
    keyword whole getservbyname yellow
    keyword whole getservbyport yellow
    keyword whole inet_ntop yellow
    keyword whole inet_pton yellow
    keyword whole ip2long yellow
    keyword whole long2ip yellow
    keyword whole openlog yellow
    keyword whole pfsockopen yellow
    keyword whole socket_get_status yellow
    keyword whole socket_set_blocking yellow
    keyword whole socket_set_timeout yellow
    keyword whole syslog yellow

# Network Functions constants
    keyword whole DNS\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] white
    keyword whole LOG\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] white

# Newt Functions
    keyword whole newt\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# Newt Functions constants
    keyword whole NEWT\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] white

# NSAPI-specific Functions
    keyword whole nsapi_request_headers yellow
    keyword whole nsapi_response_headers yellow
    keyword whole nsapi_virtual yellow

# Object Aggregation/Composition Functions
    keyword whole aggregate yellow
    keyword whole aggregate_info yellow
    keyword whole aggregate_methods yellow
    keyword whole aggregate_methods_by_list yellow
    keyword whole aggregate_methods_by_regexp yellow
    keyword whole aggregate_properties yellow
    keyword whole aggregate_properties_by_list yellow
    keyword whole aggregate_properties_by_regexp yellow
    keyword whole aggregation_info yellow
    keyword whole deaggregate yellow

# Object property and method call overloading
    keyword whole overload yellow

# Oracle functions
    keyword whole oci\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# Oracle functions OCI-Collection methods
    keyword whole OCI-Collection yellow

# Oracle functions OCI-Lob methods
    keyword whole OCI-Lob yellow

# Oracle functions constants
    keyword whole NULL white
    keyword whole OCI\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] white
    keyword whole SQLT_BFILEE white
    keyword whole SQLT_BLOB white
    keyword whole SQLT_CFILEE white
    keyword whole SQLT_CLOB white
    keyword whole SQLT_NTY white
    keyword whole SQLT_RDD white

# ODBC Functions (Unified)
    keyword whole odbc\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# ODBC Functions (Unified) constants
    keyword whole ODBC_BINMODE_CONVERT white
    keyword whole ODBC_BINMODE_PASSTHRU white
    keyword whole ODBC_BINMODE_RETURN white
    keyword whole ODBC_TYPE white
    keyword whole SQL\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] white

# ODBC and DB2 functions (PDO_ODBC)

# oggvorbis

# oggvorbis constants
    keyword whole OGGVORBIS_PCM_S16_BE white
    keyword whole OGGVORBIS_PCM_S16_LE white
    keyword whole OGGVORBIS_PCM_S8 white
    keyword whole OGGVORBIS_PCM_U16_BE white
    keyword whole OGGVORBIS_PCM_U16_LE white
    keyword whole OGGVORBIS_PCM_U8 white

# OpenAL Audio Bindings
    keyword whole openal\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# OpenAL Audio Bindings constants
    keyword whole ALC_FREQUENCY white
    keyword whole ALC_REFRESH white
    keyword whole ALC_SYNC white
    keyword whole AL_BITS white
    keyword whole AL_BUFFER white
    keyword whole AL_CHANNELS white
    keyword whole AL_CONE_INNER_ANGLE white
    keyword whole AL_CONE_OUTER_ANGLE white
    keyword whole AL_CONE_OUTER_GAIN white
    keyword whole AL_DIRECTION white
    keyword whole AL_FALSE white
    keyword whole AL_FORMAT_MONO16 white
    keyword whole AL_FORMAT_MONO8 white
    keyword whole AL_FORMAT_STEREO16 white
    keyword whole AL_FORMAT_STEREO8 white
    keyword whole AL_FREQUENCY white
    keyword whole AL_GAIN white
    keyword whole AL_INITIAL white
    keyword whole AL_LOOPING white
    keyword whole AL_MAX_DISTANCE white
    keyword whole AL_MAX_GAIN white
    keyword whole AL_MIN_GAIN white
    keyword whole AL_ORIENTATION white
    keyword whole AL_PAUSED white
    keyword whole AL_PITCH white
    keyword whole AL_PLAYING white
    keyword whole AL_POSITION white
    keyword whole AL_REFERENCE_DISTANCE white
    keyword whole AL_ROLLOFF_FACTOR white
    keyword whole AL_SIZE white
    keyword whole AL_SOURCE_RELATIVE white
    keyword whole AL_SOURCE_STATE white
    keyword whole AL_STOPPED white
    keyword whole AL_TRUE white
    keyword whole AL_VELOCITY white

# OpenSSL Functions
    keyword whole openssl\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# Oracle Functions [deprecated]
    keyword whole ora\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# Oracle Functions [deprecated] constants
    keyword whole ORA_BIND_IN white
    keyword whole ORA_BIND_INOUT white
    keyword whole ORA_BIND_OUT white
    keyword whole ORA_FETCHINTO_ASSOC white
    keyword whole ORA_FETCHINTO_NULLS white

# Oracle Functions (PDO_OCI)

# Output Control Functions
    keyword whole flush yellow
    keyword whole ob_clean yellow
    keyword whole ob_end_clean yellow
    keyword whole ob_end_flush yellow
    keyword whole ob_flush yellow
    keyword whole ob_get_clean yellow
    keyword whole ob_get_contents yellow
    keyword whole ob_get_flush yellow
    keyword whole ob_get_length yellow
    keyword whole ob_get_level yellow
    keyword whole ob_get_status yellow
    keyword whole ob_gzhandler yellow
    keyword whole ob_implicit_flush yellow
    keyword whole ob_list_handlers yellow
    keyword whole ob_start yellow
    keyword whole output_add_rewrite_var yellow
    keyword whole output_reset_rewrite_vars yellow

# Ovrimos SQL Functions
    keyword whole ovrimos_close yellow
    keyword whole ovrimos_commit yellow
    keyword whole ovrimos_connect yellow
    keyword whole ovrimos_cursor yellow
    keyword whole ovrimos_exec yellow
    keyword whole ovrimos_execute yellow
    keyword whole ovrimos_fetch_into yellow
    keyword whole ovrimos_fetch_row yellow
    keyword whole ovrimos_field_len yellow
    keyword whole ovrimos_field_name yellow
    keyword whole ovrimos_field_num yellow
    keyword whole ovrimos_field_type yellow
    keyword whole ovrimos_free_result yellow
    keyword whole ovrimos_longreadlen yellow
    keyword whole ovrimos_num_fields yellow
    keyword whole ovrimos_num_rows yellow
    keyword whole ovrimos_prepare yellow
    keyword whole ovrimos_result yellow
    keyword whole ovrimos_result_all yellow
    keyword whole ovrimos_rollback yellow

# Paradox File Access
    keyword whole px_close yellow
    keyword whole px_create_fp yellow
    keyword whole px_delete yellow
    keyword whole px_get_field yellow
    keyword whole px_get_info yellow
    keyword whole px_get_parameter yellow
    keyword whole px_get_record yellow
    keyword whole px_get_schema yellow
    keyword whole px_get_value yellow
    keyword whole px_new yellow
    keyword whole px_numfields yellow
    keyword whole px_numrecords yellow
    keyword whole px_open_fp yellow
    keyword whole px_put_record yellow
    keyword whole px_set_blob_file yellow
    keyword whole px_set_parameter yellow
    keyword whole px_set_tablename yellow
    keyword whole px_set_targetencoding yellow
    keyword whole px_set_value yellow
    keyword whole px_timestamp2string yellow

# Paradox File Access constants
    keyword whole PX_FIELD_ALPHA white
    keyword whole PX_FIELD_AUTOINC white
    keyword whole PX_FIELD_BCD white
    keyword whole PX_FIELD_BLOB white
    keyword whole PX_FIELD_BYTES white
    keyword whole PX_FIELD_CURRENCY white
    keyword whole PX_FIELD_DATE white
    keyword whole PX_FIELD_FMTMEMOBLOB white
    keyword whole PX_FIELD_GRAPHIC white
    keyword whole PX_FIELD_LOGICAL white
    keyword whole PX_FIELD_LONG white
    keyword whole PX_FIELD_MEMOBLOB white
    keyword whole PX_FIELD_NUMBER white
    keyword whole PX_FIELD_OLE white
    keyword whole PX_FIELD_SHORT white
    keyword whole PX_FIELD_TIME white
    keyword whole PX_FIELD_TIMESTAMP white
    keyword whole PX_FILE_INC_SEC_INDEX white
    keyword whole PX_FILE_INC_SEC_INDEX_G white
    keyword whole PX_FILE_INDEX_DB white
    keyword whole PX_FILE_NON_INC_SEC_INDEX white
    keyword whole PX_FILE_NON_INC_SEC_INDEX_G white
    keyword whole PX_FILE_NON_INDEX_DB white
    keyword whole PX_FILE_PRIM_INDEX white
    keyword whole PX_FILE_SEC_INDEX white
    keyword whole PX_FILE_SEC_INDEX_G white
    keyword whole PX_KEYTOLOWER white
    keyword whole PX_KEYTOUPPER white

# Parsekit Functions
    keyword whole parsekit_compile_file yellow
    keyword whole parsekit_compile_string yellow
    keyword whole parsekit_func_arginfo yellow

# Parsekit Functions constants
    keyword whole PARSEKIT\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] white

# Process Control Functions
    keyword whole pcntl\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow
# Process Control Functions constants
    keyword whole SIG\_\[ABCDEFGHIJKLMNOPQRSTUVWXYZ_0123456789\] white
    keyword whole WNOHANG white
    keyword whole WUNTRACED white

# Regular Expression Functions (Perl-Compatible)
    keyword whole preg\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# Regular Expression Functions (Perl-Compatible) constants
    keyword whole PREG_OFFSET_CAPTURE white
    keyword whole PREG_PATTERN_ORDER white
    keyword whole PREG_SET_ORDER white
    keyword whole PREG_SPLIT_DELIM_CAPTURE white
    keyword whole PREG_SPLIT_NO_EMPTY white
    keyword whole PREG_SPLIT_OFFSET_CAPTURE white

# PDF functions
    keyword whole pdf\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# PDO Functions

# PDO Functions PDO methods
    keyword whole PDO yellow

# PDO Functions PDOStatement methods
    keyword whole PDOStatement yellow

# PDO Functions constants
    keyword whole FALSE white
    keyword whole PDO::ATTR_AUTOCOMMIT white
    keyword whole PDO::ATTR_CASE white
    keyword whole PDO::ATTR_CLIENT_VERSION white
    keyword whole PDO::ATTR_CONNECTION_STATUS white
    keyword whole PDO::ATTR_CURSOR white
    keyword whole PDO::ATTR_CURSOR_NAME white
    keyword whole PDO::ATTR_DRIVER_NAME white
    keyword whole PDO::ATTR_ERRMODE white
    keyword whole PDO::ATTR_FETCH_CATALOG_NAMES white
    keyword whole PDO::ATTR_FETCH_TABLE_NAMES white
    keyword whole PDO::ATTR_ORACLE_NULLS white
    keyword whole PDO::ATTR_PERSISTENT white
    keyword whole PDO::ATTR_PREFETCH white
    keyword whole PDO::ATTR_SERVER_INFO white
    keyword whole PDO::ATTR_SERVER_VERSION white
    keyword whole PDO::ATTR_TIMEOUT white
    keyword whole PDO::CASE_LOWER white
    keyword whole PDO::CASE_NATURAL white
    keyword whole PDO::CASE_UPPER white
    keyword whole PDO::CURSOR_FWDONLY white
    keyword whole PDO::CURSOR_SCROLL white
    keyword whole PDO::ERRMODE_EXCEPTION white
    keyword whole PDO::ERRMODE_SILENT white
    keyword whole PDO::ERRMODE_WARNING white
    keyword whole PDO::ERR_NONE white
    keyword whole PDO::FETCH_ASSOC white
    keyword whole PDO::FETCH_BOTH white
    keyword whole PDO::FETCH_BOUND white
    keyword whole PDO::FETCH_CLASS white
    keyword whole PDO::FETCH_CLASSTYPE white
    keyword whole PDO::FETCH_COLUMN white
    keyword whole PDO::FETCH_FUNC white
    keyword whole PDO::FETCH_GROUP white
    keyword whole PDO::FETCH_INTO white
    keyword whole PDO::FETCH_LAZY white
    keyword whole PDO::FETCH_NAMED white
    keyword whole PDO::FETCH_NUM white
    keyword whole PDO::FETCH_OBJ white
    keyword whole PDO::FETCH_ORI_ABS white
    keyword whole PDO::FETCH_ORI_FIRST white
    keyword whole PDO::FETCH_ORI_LAST white
    keyword whole PDO::FETCH_ORI_NEXT white
    keyword whole PDO::FETCH_ORI_PRIOR white
    keyword whole PDO::FETCH_ORI_REL white
    keyword whole PDO::FETCH_UNIQUE white
    keyword whole PDO::PARAM_BOOL white
    keyword whole PDO::PARAM_INPUT_OUTPUT white
    keyword whole PDO::PARAM_INT white
    keyword whole PDO::PARAM_LOB white
    keyword whole PDO::PARAM_NULL white
    keyword whole PDO::PARAM_STMT white
    keyword whole PDO::PARAM_STR white
    keyword whole PDO_PARAM_BOOL white

# PHP Options&Information
    keyword whole assert yellow
    keyword whole assert_options yellow
    keyword whole dl yellow
    keyword whole extension_loaded yellow
    keyword whole get_cfg_var yellow
    keyword whole get_current_user yellow
    keyword whole get_defined_constants yellow
    keyword whole get_extension_funcs yellow
    keyword whole get_include_path yellow
    keyword whole get_included_files yellow
    keyword whole get_loaded_extensions yellow
    keyword whole get_magic_quotes_gpc yellow
    keyword whole get_magic_quotes_runtime yellow
    keyword whole get_required_files yellow
    keyword whole getenv yellow
    keyword whole getlastmod yellow
    keyword whole getmygid yellow
    keyword whole getmyinode yellow
    keyword whole getmypid yellow
    keyword whole getmyuid yellow
    keyword whole getopt yellow
    keyword whole getrusage yellow
    keyword whole ini_alter yellow
    keyword whole ini_get yellow
    keyword whole ini_get_all yellow
    keyword whole ini_restore yellow
    keyword whole ini_set yellow
    keyword whole main yellow
    keyword whole memory_get_usage yellow
    keyword whole php_ini_scanned_files yellow
    keyword whole php_logo_guid yellow
    keyword whole php_sapi_name yellow
    keyword whole php_uname yellow
    keyword whole phpcredits yellow
    keyword whole phpinfo yellow
    keyword whole phpversion yellow
    keyword whole putenv yellow
    keyword whole restore_include_path yellow
    keyword whole set_include_path yellow
    keyword whole set_magic_quotes_runtime yellow
    keyword whole set_time_limit yellow
    keyword whole version_compare yellow
    keyword whole zend_logo_guid yellow
    keyword whole zend_version yellow

# PHP Options&Information constants
    keyword whole ASSERT_ACTIVE white
    keyword whole ASSERT_BAIL white
    keyword whole ASSERT_CALLBACK white
    keyword whole ASSERT_QUIET_EVAL white
    keyword whole ASSERT_WARNING white
    keyword whole CREDITS_ALL white
    keyword whole CREDITS_DOCS white
    keyword whole CREDITS_FULLPAGE white
    keyword whole CREDITS_GENERAL white
    keyword whole CREDITS_GROUP white
    keyword whole CREDITS_MODULES white
    keyword whole CREDITS_QA white
    keyword whole CREDITS_SAPI white
    keyword whole INFO_ALL white
    keyword whole INFO_CONFIGURATION white
    keyword whole INFO_CREDITS white
    keyword whole INFO_ENVIRONMENT white
    keyword whole INFO_GENERAL white
    keyword whole INFO_LICENSE white
    keyword whole INFO_MODULES white
    keyword whole INFO_VARIABLES white

# POSIX Functions
    keyword whole posix\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# POSIX Functions constants
    keyword whole POSIX_F_OK white
    keyword whole POSIX_R_OK white
    keyword whole POSIX_S_IFBLK white
    keyword whole POSIX_S_IFCHR white
    keyword whole POSIX_S_IFIFO white
    keyword whole POSIX_S_IFREG white
    keyword whole POSIX_S_IFSOCK white
    keyword whole POSIX_W_OK white
    keyword whole POSIX_X_OK white

# Regular Expression Functions (POSIX Extended)
    keyword whole ereg yellow
    keyword whole ereg_replace yellow
    keyword whole eregi yellow
    keyword whole eregi_replace yellow
    keyword whole split yellow
    keyword whole spliti yellow
    keyword whole sql_regcase yellow

# PostgreSQL Functions
    keyword whole pg\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# PostgreSQL Functions constants
    keyword whole PGSQL\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_\] white
    keyword whole PG_DIAG_INTERNAL_QUERY white
    keyword whole PG_DIAG_STATEMENT_POSITION white

# PostgreSQL Functions (PDO_PGSQL)

# Printer Functions
    keyword whole printer\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# Program Execution Functions
    keyword whole escapeshellarg yellow
    keyword whole escapeshellcmd yellow
    keyword whole exec yellow
    keyword whole passthru yellow
    keyword whole proc_close yellow
    keyword whole proc_get_status yellow
    keyword whole proc_nice yellow
    keyword whole proc_open yellow
    keyword whole proc_terminate yellow
    keyword whole shell_exec yellow
    keyword whole system yellow

# PostScript document creation
    keyword whole ps\_\[0123456789_abcdefghijklmnopqrstuvwxyz\] yellow

# PostScript document creation constants
    keyword whole ps\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_\] white

# Pspell Functions
    keyword whole pspell\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# Pspell Functions constants
    keyword whole PSPELL_BAD_SPELLERS white
    keyword whole PSPELL_FAST white
    keyword whole PSPELL_NORMAL white
    keyword whole PSPELL_RUN_TOGETHER white

# qtdom Functions
    keyword whole qdom_error yellow
    keyword whole qdom_tree yellow

# Radius
    keyword whole radius\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# Radius constants
    keyword whole RADIUS\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_\] white

# Rar Functions
    keyword whole rar_close yellow
    keyword whole rar_entry_get yellow
    keyword whole rar_list yellow
    keyword whole rar_open yellow

# Rar Functions Rar methods
    keyword whole Rar yellow

# Rar Functions constants
    keyword whole RAR_HOST_BEOS white
    keyword whole RAR_HOST_MSDOS white
    keyword whole RAR_HOST_OS2 white
    keyword whole RAR_HOST_UNIX white
    keyword whole RAR_HOST_WIN32 white

# GNU Readline
    keyword whole readline\[0123456789_abcdefghijklmnopqrstuvwxyz\] yellow

# GNU Recode Functions
    keyword whole recode yellow
    keyword whole recode_file yellow
    keyword whole recode_string yellow

# RPM Header Reading Functions
    keyword whole rpm_close yellow
    keyword whole rpm_get_tag yellow
    keyword whole rpm_is_valid yellow
    keyword whole rpm_open yellow

# RPM Header Reading Functions constants
    keyword whole RPMREADER\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_\] white

# runkit Functions
    keyword whole runkit\_\[0123456789_abcdefghijklmnopqrstuvwxyz\] yellow

# runkit Functions constants
    keyword whole CLASSKIT_ACC_PRIVATE white
    keyword whole CLASSKIT_ACC_PROTECTED white
    keyword whole CLASSKIT_ACC_PUBLIC white
    keyword whole CLASSKIT_AGGREGATE_OVERRIDE white
    keyword whole CLASSKIT_VERSION white
    keyword whole RUNKIT_ACC_PRIVATE white
    keyword whole RUNKIT_ACC_PROTECTED white
    keyword whole RUNKIT_ACC_PUBLIC white
    keyword whole RUNKIT_IMPORT_CLASSES white
    keyword whole RUNKIT_IMPORT_CLASS_* white
    keyword whole RUNKIT_IMPORT_CLASS_CONSTS white
    keyword whole RUNKIT_IMPORT_CLASS_METHODS white
    keyword whole RUNKIT_IMPORT_CLASS_PROPS white
    keyword whole RUNKIT_IMPORT_FUNCTIONS white
    keyword whole RUNKIT_IMPORT_OVERRIDE white
    keyword whole RUNKIT_VERSION white

# Satellite CORBA client extension [deprecated]
    keyword whole OrbitEnum yellow
    keyword whole OrbitObject yellow
    keyword whole OrbitStruct yellow
    keyword whole satellite_caught_exception yellow
    keyword whole satellite_exception_id yellow
    keyword whole satellite_exception_value yellow
    keyword whole satellite_get_repository_id yellow
    keyword whole satellite_load_idl yellow
    keyword whole satellite_object_to_string yellow

# SDO Functions

# SDO Functions SDO_DAS_ChangeSummary methods
    keyword whole SDO_DAS_ChangeSummary yellow

# SDO Functions SDO_DAS_DataFactory methods
    keyword whole SDO_DAS_DataFactory yellow

# SDO Functions SDO_DAS_DataObject methods
    keyword whole SDO_DAS_DataObject yellow

# SDO Functions SDO_DAS_Setting methods
    keyword whole SDO_DAS_Setting yellow

# SDO Functions SDO_DataFactory methods
    keyword whole SDO_DataFactory yellow

# SDO Functions SDO_DataObject methods
    keyword whole SDO_DataObject yellow

# SDO Functions SDO_List methods
    keyword whole SDO_List yellow

# SDO Functions SDO_Sequence methods
    keyword whole SDO_Sequence yellow

# SDO Functions constants
    keyword whole SDO_DAS_ChangeSummary::ADDITION=2 white
    keyword whole SDO_DAS_ChangeSummary::DELETION=3 white
    keyword whole SDO_DAS_ChangeSummary::MODIFICATION=1 white
    keyword whole SDO_DAS_ChangeSummary::NONE=0 white

# SDO XML Data Access Service Functions

# SDO XML Data Access Service Functions SDO_DAS_XML methods
    keyword whole SDO_DAS_XML yellow

# SDO XML Data Access Service Functions SDO_DAS_XML_Document methods
    keyword whole SDO_DAS_XML_Document yellow

# SDO Relational Data Access Service Functions

# SDO Relational Data Access Service Functions SDO_DAS_Relational methods
    keyword whole SDO_DAS_Relational yellow

# Semaphore, Shared Memory and IPC Functions
    keyword whole ftok yellow
    keyword whole msg_get_queue yellow
    keyword whole msg_receive yellow
    keyword whole msg_remove_queue yellow
    keyword whole msg_send yellow
    keyword whole msg_set_queue yellow
    keyword whole msg_stat_queue yellow
    keyword whole sem_acquire yellow
    keyword whole sem_get yellow
    keyword whole sem_release yellow
    keyword whole sem_remove yellow
    keyword whole shm_attach yellow
    keyword whole shm_detach yellow
    keyword whole shm_get_var yellow
    keyword whole shm_put_var yellow
    keyword whole shm_remove yellow
    keyword whole shm_remove_var yellow

# Semaphore, Shared Memory and IPC Functions constants
    keyword whole MSG_EXCEPT white
    keyword whole MSG_IPC_NOWAIT white
    keyword whole MSG_NOERROR white

# SESAM Database Functions
    keyword whole sesam\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# Session Save Handler, Handling Functions
    keyword whole session\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# Session Handling Functions constants
    keyword whole SID white

# Shared Memory Functions
    keyword whole shmop_close yellow
    keyword whole shmop_delete yellow
    keyword whole shmop_open yellow
    keyword whole shmop_read yellow
    keyword whole shmop_size yellow
    keyword whole shmop_write yellow

# SimpleXML functions
    keyword whole simplexml_import_dom yellow
    keyword whole simplexml_load_file yellow
    keyword whole simplexml_load_string yellow

# SimpleXML functions SimpleXMLElement methods
    keyword whole SimpleXMLElement yellow

# SNMP Functions
    keyword whole snmp_get_quick_print yellow
    keyword whole snmp_get_valueretrieval yellow
    keyword whole snmp_read_mib yellow
    keyword whole snmp_set_enum_print yellow
    keyword whole snmp_set_oid_numeric_print yellow
    keyword whole snmp_set_quick_print yellow
    keyword whole snmp_set_valueretrieval yellow
    keyword whole snmpget yellow
    keyword whole snmpgetnext yellow
    keyword whole snmprealwalk yellow
    keyword whole snmpset yellow
    keyword whole snmpwalk yellow
    keyword whole snmpwalkoid yellow

# SNMP Functions constants
    keyword whole SNMP_BIT_STR white
    keyword whole SNMP_COUNTER white
    keyword whole SNMP_COUNTER64 white
    keyword whole SNMP_INTEGER white
    keyword whole SNMP_IPADDRESS white
    keyword whole SNMP_NULL white
    keyword whole SNMP_OBJECT_ID white
    keyword whole SNMP_OCTET_STR white
    keyword whole SNMP_OPAQUE white
    keyword whole SNMP_TIMETICKS white
    keyword whole SNMP_UINTEGER white
    keyword whole SNMP_UNSIGNED white
    keyword whole SNMP_VALUE_LIBRARY white
    keyword whole SNMP_VALUE_OBJECT white
    keyword whole SNMP_VALUE_PLAIN white

# SOAP Functions
    keyword whole is_soap_fault yellow
    keyword whole use_soap_error_handler yellow

# SOAP Functions SoapClient methods
    keyword whole SoapClient yellow

# SOAP Functions SoapFault methods
    keyword whole SoapFault yellow

# SOAP Functions SoapHeader methods
    keyword whole SoapHeader yellow

# SOAP Functions SoapParam methods
    keyword whole SoapParam yellow

# SOAP Functions SoapServer methods
    keyword whole SoapServer yellow

# SOAP Functions SoapVar methods
    keyword whole SoapVar yellow

# SOAP Functions constants
    keyword whole SOAP_1_1 white
    keyword whole SOAP_1_2 white
    keyword whole SOAP_ACTOR_NEXT white
    keyword whole SOAP_ACTOR_NONE white
    keyword whole SOAP_ACTOR_UNLIMATERECEIVER white
    keyword whole SOAP_COMPRESSION_ACCEPT white
    keyword whole SOAP_COMPRESSION_DEFLATE white
    keyword whole SOAP_COMPRESSION_GZIP white
    keyword whole SOAP_DOCUMENT white
    keyword whole SOAP_ENCODED white
    keyword whole SOAP_ENC_ARRAY white
    keyword whole SOAP_ENC_OBJECT white
    keyword whole SOAP_FUNCTIONS_ALL white
    keyword whole SOAP_LITERAL white
    keyword whole SOAP_PERSISTENCE_REQUEST white
    keyword whole SOAP_PERSISTENCE_SESSION white
    keyword whole SOAP_RPC white
    keyword whole UNKNOWN_TYPE white
    keyword whole XSD_1999_NAMESPACE white
    keyword whole XSD_1999_TIMEINSTANT white
    keyword whole XSD_ANYTYPE white
    keyword whole XSD_ANYURI white
    keyword whole XSD_ANYXML white
    keyword whole XSD_BASE64BINARY white
    keyword whole XSD_BOOLEAN white
    keyword whole XSD_BYTE white
    keyword whole XSD_DATE white
    keyword whole XSD_DATETIME white
    keyword whole XSD_DECIMAL white
    keyword whole XSD_DOUBLE white
    keyword whole XSD_DURATION white
    keyword whole XSD_ENTITIES white
    keyword whole XSD_ENTITY white
    keyword whole XSD_FLOAT white
    keyword whole XSD_GDAY white
    keyword whole XSD_GMONTH white
    keyword whole XSD_GMONTHDAY white
    keyword whole XSD_GYEAR white
    keyword whole XSD_GYEARMONTH white
    keyword whole XSD_HEXBINARY white
    keyword whole XSD_ID white
    keyword whole XSD_IDREF white
    keyword whole XSD_IDREFS white
    keyword whole XSD_INT white
    keyword whole XSD_INTEGER white
    keyword whole XSD_LANGUAGE white
    keyword whole XSD_LONG white
    keyword whole XSD_NAME white
    keyword whole XSD_NAMESPACE white
    keyword whole XSD_NCNAME white
    keyword whole XSD_NEGATIVEINTEGER white
    keyword whole XSD_NMTOKEN white
    keyword whole XSD_NMTOKENS white
    keyword whole XSD_NONNEGATIVEINTEGER white
    keyword whole XSD_NONPOSITIVEINTEGER white
    keyword whole XSD_NORMALIZEDSTRING white
    keyword whole XSD_NOTATION white
    keyword whole XSD_POSITIVEINTEGER white
    keyword whole XSD_QNAME white
    keyword whole XSD_SHORT white
    keyword whole XSD_STRING white
    keyword whole XSD_TIME white
    keyword whole XSD_TOKEN white
    keyword whole XSD_UNSIGNEDBYTE white
    keyword whole XSD_UNSIGNEDINT white
    keyword whole XSD_UNSIGNEDLONG white
    keyword whole XSD_UNSIGNEDSHORT white

# Socket Functions
    keyword whole socket_accept yellow
    keyword whole socket_bind yellow
    keyword whole socket_clear_error yellow
    keyword whole socket_close yellow
    keyword whole socket_connect yellow
    keyword whole socket_create yellow
    keyword whole socket_create_listen yellow
    keyword whole socket_create_pair yellow
    keyword whole socket_get_option yellow
    keyword whole socket_getpeername yellow
    keyword whole socket_getsockname yellow
    keyword whole socket_last_error yellow
    keyword whole socket_listen yellow
    keyword whole socket_read yellow
    keyword whole socket_recv yellow
    keyword whole socket_recvfrom yellow
    keyword whole socket_select yellow
    keyword whole socket_send yellow
    keyword whole socket_sendto yellow
    keyword whole socket_set_block yellow
    keyword whole socket_set_nonblock yellow
    keyword whole socket_set_option yellow
    keyword whole socket_shutdown yellow
    keyword whole socket_strerror yellow
    keyword whole socket_write yellow

# Socket Functions constants
    keyword whole AF_INET white
    keyword whole AF_INET6 white
    keyword whole AF_UNIX white
    keyword whole MSG_DONTROUTE white
    keyword whole MSG_OOB white
    keyword whole MSG_PEEK white
    keyword whole MSG_WAITALL white
    keyword whole PHP_BINARY_READ white
    keyword whole PHP_NORMAL_READ white
    keyword whole SOCK_DGRAM white
    keyword whole SOCK_RAW white
    keyword whole SOCK_RDM white
    keyword whole SOCK_SEQPACKET white
    keyword whole SOCK_STREAM white
    keyword whole SOL_SOCKET white
    keyword whole SOL_TCP white
    keyword whole SOL_UDP white
    keyword whole SO_BROADCAST white
    keyword whole SO_DEBUG white
    keyword whole SO_DONTROUTE white
    keyword whole SO_ERROR white
    keyword whole SO_KEEPALIVE white
    keyword whole SO_LINGER white
    keyword whole SO_OOBINLINE white
    keyword whole SO_RCVBUF white
    keyword whole SO_RCVLOWAT white
    keyword whole SO_RCVTIMEO white
    keyword whole SO_REUSEADDR white
    keyword whole SO_SNDBUF white
    keyword whole SO_SNDLOWAT white
    keyword whole SO_SNDTIMEO white
    keyword whole SO_TYPE white

# Standard PHP Library (SPL) Functions
    keyword whole class_implements yellow
    keyword whole class_parents yellow
    keyword whole iterator_count yellow
    keyword whole iterator_to_array yellow
    keyword whole spl_classes yellow

# Standard PHP Library (SPL) Functions ArrayIterator methods
    keyword whole ArrayIterator yellow

# Standard PHP Library (SPL) Functions ArrayObject methods
    keyword whole ArrayObject yellow

# Standard PHP Library (SPL) Functions CachingIterator methods
    keyword whole CachingIterator yellow

# Standard PHP Library (SPL) Functions CachingRecursiveIterator methods
    keyword whole CachingRecursiveIterator yellow

# Standard PHP Library (SPL) Functions DirectoryIterator methods
    keyword whole DirectoryIterator yellow

# Standard PHP Library (SPL) Functions FilterIterator methods
    keyword whole FilterIterator yellow

# Standard PHP Library (SPL) Functions LimitIterator methods
    keyword whole LimitIterator yellow

# Standard PHP Library (SPL) Functions ParentIterator methods
    keyword whole ParentIterator yellow

# Standard PHP Library (SPL) Functions RecursiveDirectoryIterator methods
    keyword whole RecursiveDirectoryIterator yellow

# Standard PHP Library (SPL) Functions RecursiveIteratorIterator methods
    keyword whole RecursiveIteratorIterator yellow

# Standard PHP Library (SPL) Functions SimpleXMLIterator methods
    keyword whole SimpleXMLIterator yellow

# Standard PHP Library (SPL) Functions constants
    keyword whole CIT_CALL_TOSTRING white
    keyword whole CIT_CATCH_GET_CHILD white
    keyword whole RIT_CHILD_FIRST white
    keyword whole RIT_LEAVES_ONLY white
    keyword whole RIT_SELF_FIRST white

# SQLite Functions
    keyword whole sqlite\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# SQLite Functions constants
    keyword whole SQLITE\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_\] white

# SQLite Functions (PDO_SQLITE)

# SQLite Functions (PDO_SQLITE) PDO methods
    keyword whole PDO yellow

# Secure Shell2 Functions
    keyword whole ssh2\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# Secure Shell2 Functions constants
    keyword whole SSH2_DEFAULT_TERMINAL white
    keyword whole SSH2_DEFAULT_TERM_HEIGHT white
    keyword whole SSH2_DEFAULT_TERM_UNIT white
    keyword whole SSH2_DEFAULT_TERM_WIDTH white
    keyword whole SSH2_FINGERPRINT_HEX white
    keyword whole SSH2_FINGERPRINT_MD5 white
    keyword whole SSH2_FINGERPRINT_RAW white
    keyword whole SSH2_FINGERPRINT_SHA1 white
    keyword whole SSH2_STREAM_STDERR white
    keyword whole SSH2_STREAM_STDIO white
    keyword whole SSH2_TERM_UNIT_CHARS white
    keyword whole SSH2_TERM_UNIT_PIXELS white

# Stream Functions
    keyword whole stream\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# Stream Functions constants
    keyword whole PSFS_ERR_FATAL white
    keyword whole PSFS_FEED_ME white
    keyword whole PSFS_PASS_ON white
    keyword whole STREAM_CLIENT_ASYNC_CONNECT white
    keyword whole STREAM_CLIENT_CONNECT white
    keyword whole STREAM_CLIENT_PERSISTENT white
    keyword whole STREAM_FILTER_ALL white
    keyword whole STREAM_FILTER_READ white
    keyword whole STREAM_FILTER_WRITE white
    keyword whole STREAM_IPPROTO_ICMP white
    keyword whole STREAM_IPPROTO_IP white
    keyword whole STREAM_IPPROTO_RAW white
    keyword whole STREAM_IPPROTO_TCP white
    keyword whole STREAM_IPPROTO_UDP white
    keyword whole STREAM_NOTIFY_AUTH_REQUIRED white
    keyword whole STREAM_NOTIFY_AUTH_RESULT white
    keyword whole STREAM_NOTIFY_COMPLETED white
    keyword whole STREAM_NOTIFY_CONNECT white
    keyword whole STREAM_NOTIFY_FAILURE white
    keyword whole STREAM_NOTIFY_FILE_SIZE_IS white
    keyword whole STREAM_NOTIFY_MIME_TYPE_IS white
    keyword whole STREAM_NOTIFY_PROGRESS white
    keyword whole STREAM_NOTIFY_REDIRECTED white
    keyword whole STREAM_NOTIFY_RESOLVE white
    keyword whole STREAM_NOTIFY_SEVERITY_ERR white
    keyword whole STREAM_NOTIFY_SEVERITY_INFO white
    keyword whole STREAM_NOTIFY_SEVERITY_WARN white
    keyword whole STREAM_PF_INET white
    keyword whole STREAM_PF_INET6 white
    keyword whole STREAM_PF_UNIX white
    keyword whole STREAM_REPORT_ERRORS white
    keyword whole STREAM_SERVER_BIND white
    keyword whole STREAM_SERVER_LISTEN white
    keyword whole STREAM_SOCK_DGRAM white
    keyword whole STREAM_SOCK_RAW white
    keyword whole STREAM_SOCK_RDM white
    keyword whole STREAM_SOCK_SEQPACKET white
    keyword whole STREAM_SOCK_STREAM white
    keyword whole STREAM_USE_PATH white

# String Functions
    keyword whole addcslashes yellow
    keyword whole addslashes yellow
    keyword whole bin2hex yellow
    keyword whole chop yellow
    keyword whole chr yellow
    keyword whole chunk_split yellow
    keyword whole convert_cyr_string yellow
    keyword whole convert_uudecode yellow
    keyword whole convert_uuencode yellow
    keyword whole count_chars yellow
    keyword whole crc32 yellow
    keyword whole crypt yellow
    keyword whole echo yellow
    keyword whole explode yellow
    keyword whole fprintf yellow
    keyword whole get_html_translation_table yellow
    keyword whole hebrev yellow
    keyword whole hebrevc yellow
    keyword whole html_entity_decode yellow
    keyword whole htmlentities yellow
    keyword whole htmlspecialchars yellow
    keyword whole htmlspecialchars_decode yellow
    keyword whole implode yellow
    keyword whole join yellow
    keyword whole levenshtein yellow
    keyword whole localeconv yellow
    keyword whole ltrim yellow
    keyword whole md5 yellow
    keyword whole md5_file yellow
    keyword whole metaphone yellow
    keyword whole money_format yellow
    keyword whole nl2br yellow
    keyword whole nl_langinfo yellow
    keyword whole number_format yellow
    keyword whole ord yellow
    keyword whole parse_str yellow
    keyword whole print yellow
    keyword whole printf yellow
    keyword whole quoted_printable_decode yellow
    keyword whole quotemeta yellow
    keyword whole rtrim yellow
    keyword whole setlocale yellow
    keyword whole sha1 yellow
    keyword whole sha1_file yellow
    keyword whole similar_text yellow
    keyword whole soundex yellow
    keyword whole sprintf yellow
    keyword whole sscanf yellow
    keyword whole str_ireplace yellow
    keyword whole str_pad yellow
    keyword whole str_repeat yellow
    keyword whole str_replace yellow
    keyword whole str_rot13 yellow
    keyword whole str_shuffle yellow
    keyword whole str_split yellow
    keyword whole str_word_count yellow
    keyword whole strcasecmp yellow
    keyword whole strchr yellow
    keyword whole strcmp yellow
    keyword whole strcoll yellow
    keyword whole strcspn yellow
    keyword whole strip_tags yellow
    keyword whole stripcslashes yellow
    keyword whole stripos yellow
    keyword whole stripslashes yellow
    keyword whole stristr yellow
    keyword whole strlen yellow
    keyword whole strnatcasecmp yellow
    keyword whole strnatcmp yellow
    keyword whole strncasecmp yellow
    keyword whole strncmp yellow
    keyword whole strpbrk yellow
    keyword whole strpos yellow
    keyword whole strrchr yellow
    keyword whole strrev yellow
    keyword whole strripos yellow
    keyword whole strrpos yellow
    keyword whole strspn yellow
    keyword whole strstr yellow
    keyword whole strtok yellow
    keyword whole strtolower yellow
    keyword whole strtoupper yellow
    keyword whole strtr yellow
    keyword whole substr yellow
    keyword whole substr_compare yellow
    keyword whole substr_count yellow
    keyword whole substr_replace yellow
    keyword whole trim yellow
    keyword whole ucfirst yellow
    keyword whole ucwords yellow
    keyword whole vfprintf yellow
    keyword whole vprintf yellow
    keyword whole vsprintf yellow
    keyword whole wordwrap yellow

# String Functions constants
    keyword whole CHAR_MAX white
    keyword whole CRYPT_BLOWFISH white
    keyword whole CRYPT_EXT_DES white
    keyword whole CRYPT_MD5 white
    keyword whole CRYPT_SALT_LENGTH white
    keyword whole CRYPT_STD_DES white
    keyword whole ENT_COMPAT white
    keyword whole ENT_NOQUOTES white
    keyword whole ENT_QUOTES white
    keyword whole HTML_ENTITIES white
    keyword whole HTML_SPECIALCHARS white
    keyword whole LC_ALL white
    keyword whole LC_COLLATE white
    keyword whole LC_CTYPE white
    keyword whole LC_MESSAGES white
    keyword whole LC_MONETARY white
    keyword whole LC_NUMERIC white
    keyword whole LC_TIME white
    keyword whole STR_PAD_BOTH white
    keyword whole STR_PAD_LEFT white
    keyword whole STR_PAD_RIGHT white

# Shockwave Flash Functions
    keyword whole swf\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow
# Shockwave Flash Functions constants
    keyword whole BSDown white
    keyword whole BSHitTest white
    keyword whole BSOver white
    keyword whole BSUp white
    keyword whole ButtonEnter white
    keyword whole ButtonExit white
    keyword whole IdletoOverDown white
    keyword whole IdletoOverUp white
    keyword whole MOD_COLOR white
    keyword whole MOD_MATRIX white
    keyword whole MenuEnter white
    keyword whole MenuExit white
    keyword whole OutDowntoIdle white
    keyword whole OutDowntoOverDown white
    keyword whole OverDowntoIdle white
    keyword whole OverDowntoOutDown white
    keyword whole OverUptoIdle white
    keyword whole OverUptoOverDown white
    keyword whole TYPE_MENUBUTTON white
    keyword whole TYPE_PUSHBUTTON white

# Sybase Functions
    keyword whole sybase\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow

# TCP Wrappers Functions
    keyword whole tcpwrap_check yellow

# Tidy Functions
    keyword whole ob_tidyhandler yellow
    keyword whole tidy\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] yellow


# Tidy Functions constants
    keyword whole TIDY\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_\] white

# Tokenizer Functions
    keyword whole token_get_all yellow
    keyword whole token_name yellow

# Tokenizer Functions constants
    keyword whole T\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_\] white

# Unicode Functions
    keyword whole i18n_loc_get_default yellow
    keyword whole i18n_loc_set_default yellow
    keyword whole unicode_encode yellow

# Unicode Functions constants
    keyword whole U_INVALID_ESCAPE white
    keyword whole U_INVALID_SKIP white
    keyword whole U_INVALID_STOP white
    keyword whole U_INVALID_SUBSTITUTE white

# URL Functions
    keyword whole base64_decode yellow
    keyword whole base64_encode yellow
    keyword whole get_headers yellow
    keyword whole get_meta_tags yellow
    keyword whole http_build_query yellow
    keyword whole parse_url yellow
    keyword whole rawurldecode yellow
    keyword whole rawurlencode yellow
    keyword whole urldecode yellow
    keyword whole urlencode yellow

# Variable Handling Functions
    keyword whole debug_zval_dump yellow
    keyword whole doubleval yellow
    keyword whole empty yellow
    keyword whole floatval yellow
    keyword whole get_defined_vars yellow
    keyword whole get_resource_type yellow
    keyword whole gettype yellow
    keyword whole import_request_variables yellow
    keyword whole intval yellow
    keyword whole is_array yellow
    keyword whole is_bool yellow
    keyword whole is_callable yellow
    keyword whole is_double yellow
    keyword whole is_float yellow
    keyword whole is_int yellow
    keyword whole is_integer yellow
    keyword whole is_long yellow
    keyword whole is_null yellow
    keyword whole is_numeric yellow
    keyword whole is_object yellow
    keyword whole is_real yellow
    keyword whole is_resource yellow
    keyword whole is_scalar yellow
    keyword whole is_string yellow
    keyword whole isset yellow
    keyword whole print_r yellow
    keyword whole serialize yellow
    keyword whole settype yellow
    keyword whole strval yellow
    keyword whole unserialize yellow
    keyword whole unset yellow
    keyword whole var_dump yellow
    keyword whole var_export yellow

# Verisign Payflow Pro Functions
    keyword whole pfpro_cleanup yellow
    keyword whole pfpro_init yellow
    keyword whole pfpro_process yellow
    keyword whole pfpro_process_raw yellow
    keyword whole pfpro_version yellow

# vpopmail Functions
    keyword whole vpopmail_add_alias_domain yellow
    keyword whole vpopmail_add_alias_domain_ex yellow
    keyword whole vpopmail_add_domain yellow
    keyword whole vpopmail_add_domain_ex yellow
    keyword whole vpopmail_add_user yellow
    keyword whole vpopmail_alias_add yellow
    keyword whole vpopmail_alias_del yellow
    keyword whole vpopmail_alias_del_domain yellow
    keyword whole vpopmail_alias_get yellow
    keyword whole vpopmail_alias_get_all yellow
    keyword whole vpopmail_auth_user yellow
    keyword whole vpopmail_del_domain yellow
    keyword whole vpopmail_del_domain_ex yellow
    keyword whole vpopmail_del_user yellow
    keyword whole vpopmail_error yellow
    keyword whole vpopmail_passwd yellow
    keyword whole vpopmail_set_user_quota yellow

# W32api Functions
    keyword whole w32api_deftype yellow
    keyword whole w32api_init_dtype yellow
    keyword whole w32api_invoke_function yellow
    keyword whole w32api_register_function yellow
    keyword whole w32api_set_call_method yellow

# W32api Functions constants
    keyword whole DC_BORLAND white
    keyword whole DC_CALL_CDECL white
    keyword whole DC_CALL_STD white
    keyword whole DC_CALL_STD_BO white
    keyword whole DC_CALL_STD_M8 white
    keyword whole DC_CALL_STD_MS white
    keyword whole DC_FLAG_ARGPTR white
    keyword whole DC_MICROSOFT white
    keyword whole DC_RETVAL_MATH4 white
    keyword whole DC_RETVAL_MATH8 white

# WDDX Functions
    keyword whole wddx_add_vars yellow
    keyword whole wddx_deserialize yellow
    keyword whole wddx_packet_end yellow
    keyword whole wddx_packet_start yellow
    keyword whole wddx_serialize_value yellow
    keyword whole wddx_serialize_vars yellow
    keyword whole wddx_unserialize yellow

# win32service Functions
    keyword whole win32_create_service yellow
    keyword whole win32_delete_service yellow
    keyword whole win32_get_last_control_message yellow
    keyword whole win32_query_service_status yellow
    keyword whole win32_set_service_status yellow
    keyword whole win32_start_service yellow
    keyword whole win32_start_service_ctrl_dispatcher yellow
    keyword whole win32_stop_service yellow

# win32service Functions constants
    keyword whole WIN32\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_\] white

# xattr Functions
    keyword whole xattr_get yellow
    keyword whole xattr_list yellow
    keyword whole xattr_remove yellow
    keyword whole xattr_set yellow
    keyword whole xattr_supported yellow

# xattr Functions constants
    keyword whole XATTR_CREATE white
    keyword whole XATTR_DONTFOLLOW white
    keyword whole XATTR_REPLACE white
    keyword whole XATTR_ROOT white

# xdiff Functions
    keyword whole xdiff_file_diff yellow
    keyword whole xdiff_file_diff_binary yellow
    keyword whole xdiff_file_merge3 yellow
    keyword whole xdiff_file_patch yellow
    keyword whole xdiff_file_patch_binary yellow
    keyword whole xdiff_string_diff yellow
    keyword whole xdiff_string_diff_binary yellow
    keyword whole xdiff_string_merge3 yellow
    keyword whole xdiff_string_patch yellow
    keyword whole xdiff_string_patch_binary yellow

# xdiff Functions constants
    keyword whole XDIFF_PATCH_NORMAL white
    keyword whole XDIFF_PATCH_REVERSE white

# XML Parser Functions
    keyword whole utf8_decode yellow
    keyword whole utf8_encode yellow
    keyword whole xml\_\[0123456789_abcdefghijklmnopqrstuvwxyz\] yellow

# XML Parser Functions constants
    keyword whole XML\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_\] white

# XML-RPC Functions
    keyword whole xmlrpc\_\[0123456789_abcdefghijklmnopqrstuvwxyz\] yellow

# XMLReader functions

# XMLReader functions XMLReader methods
    keyword whole XMLReader yellow

# XMLReader functions constants
    keyword whole XMLREADER\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_\] white
# XSL functions

# XSL functions XSLTProcessor methods
    keyword whole XSLTProcessor yellow

# XSL functions constants
    keyword whole XSL_CLONE_ALWAYS white
    keyword whole XSL_CLONE_AUTO white
    keyword whole XSL_CLONE_NEVER white

# XSLT Functions
    keyword whole xslt\_\[0123456789_abcdefghijklmnopqrstuvwxyz\] yellow

# XSLT Functions constants
    keyword whole XSLT_ERR_UNSUPPORTED_SCHEME white
    keyword whole XSLT_OPT_SILENT white
    keyword whole XSLT_SABOPT_DISABLE_ADDING_META white
    keyword whole XSLT_SABOPT_DISABLE_STRIPPING white
    keyword whole XSLT_SABOPT_FILES_TO_HANDLER white
    keyword whole XSLT_SABOPT_IGNORE_DOC_NOT_FOUND white
    keyword whole XSLT_SABOPT_PARSE_PUBLIC_ENTITIES white

# YAZ Functions
    keyword whole yaz\_\[0123456789_abcdefghijklmnopqrstuvwxyz\] yellow

# YP/NIS Functions
    keyword whole yp\_\[0123456789_abcdefghijklmnopqrstuvwxyz\] yellow

# YP/NIS Functions constants
    keyword whole YPERR_BADARGS white
    keyword whole YPERR_BADDB white
    keyword whole YPERR_BUSY white
    keyword whole YPERR_DOMAIN white
    keyword whole YPERR_KEY white
    keyword whole YPERR_MAP white
    keyword whole YPERR_NODOM white
    keyword whole YPERR_NOMORE white
    keyword whole YPERR_PMAP white
    keyword whole YPERR_RESRC white
    keyword whole YPERR_RPC white
    keyword whole YPERR_VERS white
    keyword whole YPERR_YPBIND white
    keyword whole YPERR_YPERR white
    keyword whole YPERR_YPSERV white

# Zip File Functions (Read Only Access)
    keyword whole zip\_\[_abcdefghijklmnopqrstuvwxyz\] yellow

# Zlib Compression Functions
    keyword whole gzclose yellow
    keyword whole gzcompress yellow
    keyword whole gzdeflate yellow
    keyword whole gzencode yellow
    keyword whole gzeof yellow
    keyword whole gzfile yellow
    keyword whole gzgetc yellow
    keyword whole gzgets yellow
    keyword whole gzgetss yellow
    keyword whole gzinflate yellow
    keyword whole gzopen yellow
    keyword whole gzpassthru yellow
    keyword whole gzputs yellow
    keyword whole gzread yellow
    keyword whole gzrewind yellow
    keyword whole gzseek yellow
    keyword whole gztell yellow
    keyword whole gzuncompress yellow
    keyword whole gzwrite yellow
    keyword whole readgzfile yellow
    keyword whole zlib_get_coding_type yellow

# Zlib Compression Functions constants
    keyword whole FORCE_DEFLATE white
    keyword whole FORCE_GZIP white


########################
# predefined constants
# core

    keyword whole PHP\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_\] white
    keyword whole E\_\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_\] white
    keyword whole DEFAULT_INCLUDE_PATH white
    keyword whole PEAR_INSTALL_DIR white
    keyword whole PEAR_EXTENSION_DIR white

####################################
# expressions, operators and other

    keyword whole var white
    keyword whole as white
    keyword whole and white
    keyword whole or white

# Magic constants
    keyword whole __FILE__ brightred
    keyword whole __LINE__ brightred
    keyword whole __FUNCTION__ brightred
    keyword whole __CLASS__ brightred
    keyword whole __METHOD__ brightred

    keyword whole parent brightmagenta
    keyword whole $this brightmagenta

    keyword wholeright $+ brightgreen

    keyword /\* brown
    keyword \*/ brown
    keyword // brown

    keyword <?php white
    keyword <? white
    keyword ?> white
    keyword <html cyan
    keyword <HTML cyan
    keyword <doctype cyan
    keyword <DOCTYPE cyan

    keyword > white
    keyword < white
    keyword \+ white
    keyword - white
    keyword \* white
    keyword / white
    keyword % white
    keyword = white
    keyword != white
    keyword == white
    keyword & white
    keyword | white
    keyword :: white
    keyword -> white
    keyword { brightcyan
    keyword } brightcyan
    keyword ( brightcyan
    keyword ) brightcyan
    keyword [ brightcyan
    keyword ] brightcyan
    keyword , brightcyan
    keyword : brightcyan
    keyword ; brightmagenta

context " " green
    spellcheck
    keyword \\" brightgreen
    keyword \\\n brightgreen
    keyword %% brightgreen
    keyword %\[#0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[L\]\{eEfgGoxX\} brightgreen
    keyword %\[0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[hl\]\{diu\} brightgreen
    keyword %\[hl\]n brightgreen
    keyword %\[.\]\[0123456789\]s brightgreen
    keyword %[*] brightgreen
    keyword %c brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
    keyword \\\\ brightgreen
    keyword \\' brightgreen
    keyword \\\{abtnvfr\} brightgreen

context ' ' brightgreen
    spellcheck
    keyword \\' brightcyan

context exclusive <? ?> cyan
    keyword whole &*; brightgreen
context <html > cyan
    keyword whole &*; brightgreen
context <HTML > cyan
    keyword whole &*; brightgreen
context <!doctype > cyan
    keyword whole &*; brightgreen
context <!DOCTYPE > cyan
    keyword whole &*; brightgreen

context exclusive /\* \*/ brown
    spellcheck

context exclusive // \n brown
    spellcheck

context linestart # \n brown
    spellcheck

PK[W�p;��swig.syntaxnu�[���context default
    keyword whole linestart %addmethods red/orange
    keyword whole linestart %alpha red/orange
    keyword whole linestart %apply red/orange
    keyword whole linestart %checkout red/orange
    keyword whole linestart %clear red/orange
    keyword whole linestart %disabledoc red/orange
    keyword whole linestart %doconly red/orange
    keyword whole linestart %echo red/orange
    keyword whole linestart %enabledoc red/orange
    keyword whole linestart %except red/orange
    keyword whole linestart %extern red/orange
    keyword whole linestart %import red/orange
    keyword whole linestart %include red/orange
    keyword whole linestart %init red/orange
    keyword whole linestart %inline red/orange
    keyword whole linestart %localstyle red/orange
    keyword whole linestart %module red/orange
    keyword whole linestart %name red/orange
    keyword whole linestart %native red/orange
    keyword whole linestart %new red/orange
    keyword whole linestart %out red/orange
    keyword whole linestart %pragma red/orange
    keyword whole linestart %raw red/orange
    keyword whole linestart %readonly red/orange
    keyword whole linestart %readwrite red/orange
    keyword whole linestart %rename red/orange
    keyword whole linestart %section red/orange
    keyword whole linestart %style red/orange
    keyword whole linestart %subsection red/orange
    keyword whole linestart %subsubsection red/orange
    keyword whole linestart %text red/orange
    keyword whole linestart %title red/orange
    keyword whole linestart %typedef red/orange
    keyword whole linestart %typemap red/orange
    keyword whole linestart %val red/orange
    keyword whole linestart %wrapper red/orange
    keyword whole linestart %{ red/orange
    keyword whole linestart %} red/orange

    keyword whole auto yellow
    keyword whole break yellow
    keyword whole case yellow
    keyword whole char yellow
    keyword whole const yellow
    keyword whole continue yellow
    keyword whole default yellow
    keyword whole do yellow
    keyword whole double yellow
    keyword whole else yellow
    keyword whole enum yellow
    keyword whole extern yellow
    keyword whole float yellow
    keyword whole for yellow
    keyword whole goto yellow
    keyword whole if yellow
    keyword whole int yellow
    keyword whole long yellow
    keyword whole register yellow
    keyword whole return yellow
    keyword whole short yellow
    keyword whole signed yellow
    keyword whole sizeof yellow
    keyword whole static yellow
    keyword whole struct yellow
    keyword whole switch yellow
    keyword whole typedef yellow
    keyword whole union yellow
    keyword whole unsigned yellow
    keyword whole void yellow
    keyword whole volatile yellow
    keyword whole while yellow
    keyword whole asm yellow
    keyword whole catch yellow
    keyword whole class yellow
    keyword whole friend yellow
    keyword whole delete yellow
    keyword whole inline yellow
    keyword whole new yellow
    keyword whole operator yellow
    keyword whole private yellow
    keyword whole protected yellow
    keyword whole public yellow
    keyword whole this yellow
    keyword whole throw yellow
    keyword whole template yellow
    keyword whole try yellow
    keyword whole virtual yellow
    keyword whole bool yellow
    keyword whole const_cast yellow
    keyword whole dynamic_cast yellow
    keyword whole explicit yellow
    keyword whole false yellow
    keyword whole mutable yellow
    keyword whole namespace yellow
    keyword whole reinterpret_cast yellow
    keyword whole static_cast yellow
    keyword whole true yellow
    keyword whole typeid yellow
    keyword whole typename yellow
    keyword whole using yellow
    keyword whole wchar_t yellow
    keyword whole ... yellow

    keyword /\* brown
    keyword \*/ brown
    keyword // brown

    keyword '\\\{"abtnvfr\}' brightgreen
    keyword '\\\{0123\}\{01234567\}\{01234567\}' brightgreen
    keyword '\\'' brightgreen
    keyword '\\\\' brightgreen
    keyword '\\0' brightgreen
    keyword '\{\s!"#$%&()\*\+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[]^_`abcdefghijklmnopqrstuvwxyz{|}~���������������������������������������������������������������������������������������������\}' brightgreen

    keyword > yellow
    keyword < yellow
    keyword \+ yellow
    keyword - yellow
    keyword \* yellow
    keyword / yellow
    keyword % yellow
    keyword = yellow
    keyword != yellow
    keyword == yellow
    keyword { brightcyan
    keyword } brightcyan
    keyword ( brightcyan
    keyword ) brightcyan
    keyword [ brightcyan
    keyword ] brightcyan
    keyword , brightcyan
    keyword : brightcyan
    keyword ? brightcyan
    keyword ; brightmagenta
context exclusive /\* \*/ brown
    spellcheck
context exclusive // \n brown
    spellcheck
context linestart # \n brightred
    keyword \\\n yellow
    keyword /\**\*/ brown
    keyword "+" red
    keyword <+> red
context " " green
    spellcheck
    keyword \\" brightgreen
    keyword \\\n brightgreen
    keyword %% brightgreen
    keyword %\[#0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[L\]\{eEfgGoxX\} brightgreen
    keyword %\[0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[hl\]\{diuxX\} brightgreen
    keyword %\[hl\]n brightgreen
    keyword %\[.\]\[0123456789\]s brightgreen
    keyword %[*] brightgreen
    keyword %c brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
    keyword \\\\ brightgreen
    keyword \\' brightgreen
    keyword \\\{abtnvfr\} brightgreen
PK[0֣unknown.syntaxnu�[���context default
    spellcheck
PK[��\W9	9	makefile.syntaxnu�[���context default
    keyword $$ brightcyan
    keyword $(*) yellow
    keyword ${*} brightgreen
# GNU Makefiles
    keyword whole linestart define magenta
    keyword whole linestart endef magenta
    keyword whole linestart include magenta
    keyword whole linestart ifdef magenta
    keyword whole linestart ifndef magenta
    keyword whole linestart endif magenta
    keyword whole linestart if magenta
    keyword whole linestart ifeq magenta
    keyword whole linestart ifneq magenta
    keyword whole linestart else magenta
# BSD Makefiles
    keyword whole linestart .\[\s\t\]elif magenta
    keyword whole linestart .\[\s\t\]else magenta
    keyword whole linestart .\[\s\t\]endfor magenta
    keyword whole linestart .\[\s\t\]endif magenta
    keyword whole linestart .\[\s\t\]for magenta
    keyword whole linestart .\[\s\t\]if magenta
    keyword whole linestart .\[\s\t\]include magenta
    keyword whole linestart .\[\s\t\]undef magenta

    keyword linestart \t lightgray red
    keyword whole .PHONY white
    keyword whole .SUFFIXES white
    keyword whole .DEFAULT white
    keyword whole .PRECIOUS white
    keyword whole .INTERMEDIATE white
    keyword whole .SECONDARY white
    keyword whole .DELETE_ON_ERROR white
    keyword whole .IGNORE white
    keyword whole .LOW_RESOLUTION_TIME white
    keyword whole .SILENT white
    keyword whole .EXPORT_ALL_VARIABLES white
    keyword whole .NOTPARALLEL white
    keyword whole .NOEXPORT white
    keyword = white
    keyword : yellow
    keyword \\\n yellow
# this handles strange cases like @something@@somethingelse@ properly
    keyword whole @+@ brightmagenta black
    keyword @+@ brightmagenta black

# comment
context linestart # \n brown
    spellcheck
    keyword whole @+@ brightmagenta black
    keyword @+@ brightmagenta black

# right part of an assignment
context exclusive = \n brightcyan
    keyword \\\n yellow
    keyword $$ brightcyan
    keyword $(*) yellow
    keyword ${*} brightgreen
    keyword linestart \t lightgray red
    keyword whole @+@ brightmagenta black
    keyword @+@ brightmagenta black

# shell code
context exclusive linestart \t \n
    keyword \\\n yellow
    keyword $$ brightcyan
    keyword $(*) yellow
    keyword ${*} brightgreen
    keyword linestart \t lightgray red
    keyword whole @+@ brightmagenta black
    keyword @+@ brightmagenta black
PK[ҞSAmail.syntaxnu�[���wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ_01234567890.-

context default
    spellcheck

context linestart From\s \n\n cyan
    keyword linestart From: brightgreen
    keyword linestart From\s brightred
    keyword <*@*> white
    keyword whole +@+ white
    keyword linestart To: brightmagenta
    keyword linestart Subject: brightred/Orange
    keyword linestart +: brown

context linestart Return-path:\s \n\n cyan
    keyword linestart From: brightgreen
    keyword linestart From\s brightred
    keyword <*@*> white
    keyword whole +@+ white
    keyword linestart To: brightmagenta
    keyword linestart Subject: brightred/Orange
    keyword linestart +: brown

context linestart Return-Path:\s \n\n cyan
    keyword linestart From: brightgreen
    keyword linestart From\s brightred
    keyword <*@*> white
    keyword whole +@+ white
    keyword linestart To: brightmagenta
    keyword linestart Subject: brightred/Orange
    keyword linestart +: brown

context linestart From:\s \n\n cyan
    keyword linestart From: brightgreen
    keyword linestart From\s brightred
    keyword <*@*> white
    keyword whole +@+ white
    keyword linestart To: brightmagenta
    keyword linestart Subject: brightred/Orange
    keyword linestart +: brown

context linestart Date:\s \n\n cyan
    keyword linestart From: brightgreen
    keyword linestart From\s brightred
    keyword <*@*> white
    keyword whole +@+ white
    keyword linestart To: brightmagenta
    keyword linestart Subject: brightred/Orange
    keyword linestart +: brown

context linestart >\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]> \n brightgreen
context linestart >\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]> \n brightred
context linestart >\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]> \n brightgreen
context linestart >\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]> \n brightred
context linestart >\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]> \n brightgreen
context linestart >\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]> \n brightred
context linestart >\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]> \n brightgreen
context linestart >\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]> \n brightred
context linestart >\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]> \n brightgreen
context linestart >\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]> \n brightred
context linestart >\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]> \n brightgreen
context linestart >\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]> \n brightred
context linestart >\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]> \n brightgreen
context linestart >\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]> \n brightred
context linestart >\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]> \n brightgreen
context linestart >\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]> \n brightred
context linestart >\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]> \n brightgreen
context linestart >\[\s\]>\[\s\]>\[\s\]>\[\s\]>\[\s\]> \n brightred
context linestart >\[\s\]>\[\s\]>\[\s\]>\[\s\]> \n brightgreen
context linestart >\[\s\]>\[\s\]>\[\s\]> \n brightred
context linestart >\[\s\]>\[\s\]> \n brightgreen
context linestart >\[\s\]> \n brightred
context linestart > \n brightgreen
PK[;�e��	cs.syntaxnu�[���# Modified from C highlight file by Juan C. Olivares <juancri@juancri.com>

context default

    keyword whole abstract yellow
    keyword whole as yellow
    keyword whole base yellow
    keyword whole bool yellow
    keyword whole break yellow
    keyword whole byte yellow
    keyword whole case yellow
    keyword whole catch yellow
    keyword whole char yellow
    keyword whole checked yellow
    keyword whole class white
    keyword whole const yellow
    keyword whole continue yellow
    keyword whole decimal yellow
    keyword whole default yellow
    keyword whole delegate white
    keyword whole do yellow
    keyword whole double yellow
    keyword whole else yellow
    keyword whole enum white
    keyword whole event yellow
    keyword whole explicit yellow
    keyword whole extern yellow
    keyword whole false yellow
    keyword whole finally yellow
    keyword whole fixed yellow
    keyword whole float yellow
    keyword whole for yellow
    keyword whole foreach yellow
    keyword whole get brightgreen
    keyword whole goto yellow
    keyword whole if yellow
    keyword whole implicit yellow
    keyword whole in yellow
    keyword whole int yellow
    keyword whole interface white
    keyword whole internal brightred
    keyword whole is yellow
    keyword whole lock yellow
    keyword whole long yellow
    keyword whole namespace white
    keyword whole new yellow
    keyword whole null yellow
    keyword whole object yellow
    keyword whole operator yellow
    keyword whole out yellow
    keyword whole override yellow
    keyword whole params yellow
    keyword whole partial yellow
    keyword whole private brightred
    keyword whole protected yellow
    keyword whole public brightred
    keyword whole readonly yellow
    keyword whole ref yellow
    keyword whole return yellow
    keyword whole sbyte yellow
    keyword whole sealed yellow
    keyword whole set brightgreen
    keyword whole short yellow
    keyword whole sizeof yellow
    keyword whole stackalloc yellow
    keyword whole static yellow
    keyword whole string yellow
    keyword whole struct white
    keyword whole switch yellow
    keyword whole this yellow
    keyword whole throw yellow
    keyword whole true yellow
    keyword whole try yellow
    keyword whole typeof yellow
    keyword whole uint yellow
    keyword whole ulong yellow
    keyword whole unchecked yellow
    keyword whole unsafe yellow
    keyword whole ushort yellow
    keyword whole using brightcyan
    keyword whole value yellow
    keyword whole virtual yellow
    keyword whole void yellow
    keyword whole volatile yellow
    keyword whole where yellow
    keyword whole while yellow
    keyword whole yield yellow


    keyword whole linestart \{\s\t\}\[\s\t\]#*\n brightmagenta

    keyword /\* brown
    keyword \*/ brown
    keyword // brown

    keyword '\\\{"abtnvfr\}' brightgreen
    keyword '\\\{0123\}\{01234567\}\{01234567\}' brightgreen
    keyword '\\'' brightgreen
    keyword '\\\\' brightgreen
    keyword '\\0' brightgreen
    keyword '\{\s!"#$%&()\*\+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[]^_`abcdefghijklmnopqrstuvwxyz{|}~��������������������������������������������������������������������������������������������\}' brightgreen

    keyword > yellow
    keyword < yellow
    keyword \+ yellow
    keyword - yellow
    keyword \* yellow
    keyword / yellow
    keyword % yellow
    keyword = yellow
    keyword != yellow
    keyword == yellow
    keyword { brightcyan
    keyword } brightcyan
    keyword ( brightcyan
    keyword ) brightcyan
    keyword [ brightcyan
    keyword ] brightcyan
    keyword , brightcyan
    keyword : brightcyan
    keyword ? brightcyan
    keyword ; brightmagenta

context exclusive /\* \*/ brown
    spellcheck

context exclusive // \n brown
    spellcheck

context linestart # \n brightred
    keyword \\\n yellow
    keyword /\**\*/ brown
    keyword "+" red

context " " green
    spellcheck
    keyword \\" brightgreen
    keyword %% brightgreen
    keyword %\[#0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[L\]\{eEfgGoxX\} brightgreen
    keyword %\[0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[hl\]\{diuxX\} brightgreen
    keyword %\[hl\]n brightgreen
    keyword %\[-\]\[0123456789\*\]\[.\]\[0123456789\*\]s brightgreen
    keyword %[*] brightgreen
    keyword %c brightgreen
    keyword %p brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
    keyword \\\\ brightgreen
    keyword \\' brightgreen
    keyword \\\{abtnvfr\} brightgreen
PK[�[ۈ�
awk.syntaxnu�[���# AWK syntax by
# Olegarch  <olegarch@mail.ru>
# Andres Farfan, <nafraf@linuxmail.org>
# Ilia Maslakov <il.smind gmail com>
#
# (c) 2003, 2004, 2009
#  This program is free software: you can redistribute it and/or modify
#  it under the terms of the GNU General Public License as published by
#  the Free Software Foundation, either version 3 of the License, or
#  (at your option) any later version.
#
#  This program is distributed in the hope that it will be useful,
#  but WITHOUT ANY WARRANTY; without even the implied warranty of
#  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
#  GNU General Public License for more details.
#
#  You should have received a copy of the GNU General Public License
#  along with this program.  If not, see <http://www.gnu.org/licenses/>.

context default

    keyword whole linestart #!\[\s\]/bin/\[msg\]awk yellow magenta
    keyword whole linestart #!\[\s\]/usr/bin/\[msg\]awk yellow magenta
# Keywords
    keyword whole AMNOISE white
    keyword whole BEGIN white
    keyword whole END white
    keyword whole func white
    keyword whole function white
    keyword whole nextfile white

    keyword whole return white/26
    keyword whole print white/26
    keyword whole printf white/26
    keyword whole if white/26
    keyword whole else white/26
    keyword whole while white/26
    keyword whole for white/26
    keyword whole in white/26
    keyword whole do white/26
    keyword whole break white/26
    keyword whole continue white/26
    keyword whole next white/26
    keyword whole exit white/26
    keyword whole close white/26
    keyword whole system white/26
    keyword whole getline white/26
# Builtin functions:
    keyword whole atan2        white black
    keyword whole cos          white black
    keyword whole exp          white black
    keyword whole int          white black
    keyword whole log          white black
    keyword whole rand         white black
    keyword whole sin          white black
    keyword whole sqrt         white black
    keyword whole srand        white black
    keyword whole gsub         white black
    keyword whole index        white black
    keyword whole length       white black
    keyword whole match        white black
    keyword whole split        white black
    keyword whole sprintf      white black
    keyword whole sub          white black
    keyword whole substr       white black
    keyword whole asort        white black
    keyword whole asorti       white black

    keyword whole xor white
    keyword whole and white
    keyword whole or white

# Gawk builtin functions:
    keyword whole fflush       white black
    keyword whole gensub       white black
    keyword whole tolower      white black
    keyword whole toupper      white black
    keyword whole systime      white black
    keyword whole strftime     white black
    keyword whole strtonum white
    keyword whole bindtextdomain white
    keyword whole dcgettext white
    keyword whole dcngettext white
    keyword whole compl white
    keyword whole delete white
    keyword whole extension white
    keyword whole mktime white
    keyword whole lshift white
    keyword whole rshift white

# Builtin variables:
    keyword whole ARGIND brightblue
    keyword whole BINMODE brightblue
    keyword whole CONVFMT brightblue
    keyword whole ENVIRON brightblue
    keyword whole LINT brightblue
    keyword whole TEXTDOMAIN brightblue
    keyword whole ARGC brightblue
    keyword whole ARGV brightblue
    keyword whole FILENAME brightblue
    keyword whole FNR brightblue
    keyword whole FS brightblue
    keyword whole NF brightblue
    keyword whole NR brightblue
    keyword whole OFMT brightblue
    keyword whole OFS brightblue
    keyword whole ORS brightblue
    keyword whole RLENGTH brightblue
    keyword whole RS brightblue
    keyword whole RSTART brightblue
    keyword whole SUBSEP brightblue
# Gawk builtin variables:
    keyword whole ARGIND brightblue
    keyword whole ERRNO brightblue
    keyword whole RT brightblue
    keyword whole IGNORECASE brightblue
    keyword whole FIELDWIDTHS brightblue

    keyword '\s' brightgreen/16
    keyword '+' brightgreen/16
    keyword > yellow/24
    keyword < yellow/24
    keyword \+ yellow/24
    keyword - yellow/24
    keyword \* yellow/24
    keyword % yellow/24
    keyword = yellow/24
    keyword != yellow/24
    keyword == yellow/24
    keyword { white/25
    keyword } white/25
    keyword ( white/25
    keyword ) white/25
    keyword [*] magenta
    keyword , white/25
    keyword : white/25
    keyword ; lightgray/19
    keyword $\[abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789\] brightred/18
# Begin/End:
    keyword whole BEGIN red
    keyword whole END red
# Function keyword:
    keyword whole function brightmagenta
# Hex constant:
    keyword 0\{xX\}\[abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789\] magenta/6
# Tab:    \t
#    keyword linestart \t lightgray/13 blue/6

# Sed:
    keyword linestart /^ red
    keyword linestart /^# red
context /^#*/ red

# Comments:
context linestart # \n brown
context linestart $ \n brown

# String:
context " " green/6
    keyword \\" brightgreen/16
    keyword \\\n brightgreen/16
    keyword %% brightgreen/16
    keyword %\[#0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[L\]\{eEfgGoxX\} brightgreen/16
    keyword %\[0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[hl\]\{diu\} brightgreen/16
    keyword %\[hl\]n brightgreen/16
    keyword %\[.\]\[0123456789\]s brightgreen/16
    keyword %[*] brightgreen/16
    keyword %c brightgreen/16
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen/16
    keyword \\\\ brightgreen/16
    keyword \\' brightgreen/16
    keyword \\\{abtnvfr\} brightgreen/16
PK[�EW�k k procmail.syntaxnu�[���#  procmail definition
#  created by Michelle Konzack <linux4michelle@tamay-dogan.net>
#  This definition is under the GNU GPL version 3.0.


context default
    keyword whole linestart #*procmail\n brightcyan black
    keyword whole #*\n brown

wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789-._

##############################################################
#  Vars from procmail
    keyword whole MAILDIR brightgreen
    keyword whole DEFAULT brightgreen
    keyword whole LOGFILE brightgreen
    keyword whole VERBOSE brightgreen
    keyword whole LOGABSTRACT brightgreen
    keyword whole LOG brightgreen
    keyword whole ORGMAIL brightgreen
    keyword whole LOCKFILE brightgreen
    keyword whole LOCKEXT brightgreen
    keyword whole LOCKSLEEP brightgreen
    keyword whole LOCKTIMEOUT brightgreen
    keyword whole TIMEOUT brightgreen
    keyword whole MSGPREFIX brightgreen
    keyword whole HOST brightgreen
    keyword whole UMASK brightgreen
    keyword whole SHELLMETAS brightgreen
    keyword whole SHELLFLAGS brightgreen
    keyword whole SENDMAIL brightgreen
    keyword whole SENDMAILFLAGS brightgreen
    keyword whole NORESRETRY brightgreen
    keyword whole SUSPEND brightgreen
    keyword whole LINEBUF brightgreen
    keyword whole DELIVERED brightgreen
    keyword whole TRAP brightgreen
    keyword whole EXITCODE brightgreen
    keyword whole LASTFOLDER brightgreen
    keyword whole MATCH brightgreen
    keyword whole SHIFT brightgreen
    keyword whole INCLUDERC brightmagenta
    keyword whole SWITCHRC brightgreen
    keyword whole PROCMAIL_VERSION brightgreen
    keyword whole PROCMAIL_OVERFLOW brightgreen
    keyword whole COMSAT brightgreen
    keyword whole DROPPRIVS brightgreen
    keyword whole LOGNAME brightgreen
    keyword whole HOME brightgreen
    keyword whole PATH brightgreen
    keyword whole SHELL brightgreen

    keyword whole ST brightgreen
    keyword whole STN brightgreen
    keyword whole NL brightgreen

##############################################################
# Vars used by tdtools-procmail
    keyword TDTP_DIRPREFIX brightgreen
    keyword TDTP_INC brightgreen
    keyword TDTP_DIR brightgreen
    keyword TDTP_USE_SEPARATOR brightgreen
    keyword TDTP_SHOW_MSGINFO brightgreen
    keyword TDTP_USE_SERNO brightgreen
    keyword TDTP_SERNO_MAX brightgreen
    keyword TDTP_LEFT_MAX brightgreen
    keyword TDTP_SHOW_FILTERS brightgreen
    keyword TDTP_USE_TAG_N_DROP brightgreen
    keyword TDTP_HITS_MIN brightgreen
    keyword TDTP_USE_L10N brightgreen
    keyword TDTP_USE_MAILHEADERS brightgreen
    keyword TDTP_DATE_PREFIX brightgreen
    keyword TDTP_USE_LISTDIR brightgreen
    keyword TDTP_LISTDIR_SEPARATOR brightgreen
    keyword TDTP_USE_EXPURGATE_BULK brightgreen
    keyword TDTP_SPAM_AT_END brightgreen
    keyword TDTP_MAXSIZE brightgreen

    keyword DATE_NOW_RFC brightgreen
    keyword DATE_NOW_SER brightgreen
    keyword FROM brightgreen
    keyword TO brightgreen
    keyword CC brightgreen
    keyword ENVTO brightgreen
    keyword SIZE brightgreen
    keyword DATE_DATE brightgreen
    keyword DATE_RFC brightgreen
    keyword DATE_SER brightgreen
    keyword DATE_RECV_RFC brightgreen
    keyword DATE_RECV_SER brightgreen
    keyword MSG_HOUR brightgreen
    keyword MSG_DAY brightgreen
    keyword MSG_WEEK brightgreen
    keyword MSG_MONTH brightgreen
    keyword MSG_YEAR brightgreen
    keyword TDTP_LISTDIR brightgreen
    keyword TDTP_NAME brightgreen
    keyword SPAMTAG brightgreen
    keyword FILTER brightgreen
    keyword TDTP_HITS_TOT brightgreen

    keyword whole linestart TDTP_L10N_* brightgreen
    keyword TDTP_L10N_LL_CC brightgreen
    keyword TDTP_L10N_LL brightgreen
    keyword TDTP_L10N_FILE brightgreen

    keyword TDTP_EMAIL_NET brightgreen
    keyword TDTP_EMAIL_TDAUTOBUILDER brightgreen
    keyword TDTP_EMAIL_TDCONTROL brightgreen
    keyword TDTP_EMAIL_TDAUTODOWNLOADER brightgreen
    keyword TDTP_EMAIL_BUSINESS brightgreen
    keyword TDTP_EMAIL_BTS_DEBIAN brightgreen
    keyword TDTP_EMAIL_ML brightgreen
    keyword TDTP_EMAIL_PRI brightgreen

    keyword tdmcRDATE brightgreen
    keyword tdmcSDATE brightgreen
    keyword tdmcNL brightgreen

    keyword USEWNAME brightgreen
    keyword USEWLIST brightgreen
    keyword WLIST brightgreen
    keyword USEBNAME brightgreen
    keyword USEBLIST brightgreen
    keyword BLIST brightgreen
    keyword USETNAME brightgreen
    keyword USETLIST brightgreen
    keyword TLIST brightgreen
    keyword USEHNAME brightgreen
    keyword USEHLIST brightgreen
    keyword HLIST brightgreen

    keyword RESULT brightgreen
    keyword PACKAGE brightgreen
    keyword SUB brightgreen
    keyword COUNT brightgreen
    keyword NEWMSG brightgreen
    keyword OLDMSG brightgreen
    keyword TMPMSG brightgreen
    keyword ADDR_ME brightgreen
    keyword ADDR_LISTS brightgreen
    keyword HIT brightgreen
    keyword STRING brightgreen
    keyword STRG brightgreen
    keyword SHOW_FILTER brightgreen
    keyword TMPSPAM brightgreen

##############################################################
# Vars used by other recipes from the procmail mailinglist
    keyword PROCMAIL_DIR brightgreen
    keyword PROCMAILDIR brightgreen
    keyword PROCDIR brightgreen
    keyword OCTET brightgreen
    keyword DOTQUAD brightgreen
    keyword RCVD brightgreen
    keyword RCVD_COUNT brightgreen
    keyword FROMX brightgreen
    keyword RETX brightgreen
    keyword GPGFROM brightgreen
    keyword GPGGET brightgreen
    keyword GPGEXP brightgreen
    keyword EMAIL brightgreen
    keyword DUMMY_EXEC brightgreen
    keyword DUMMY brightgreen
    keyword TMPVAL brightgreen
    keyword TMPVAR brightgreen
    keyword HOMEDIR brightgreen
    keyword NULL brightgreen
    keyword whole H_From* brightgreen

    keyword whole on red
    keyword whole true red
    keyword whole yes red
    keyword whole off red
    keyword whole false red
    keyword whole no red
    keyword whole all red

    keyword whole :0*\n        black magenta
    keyword whole \**\n cyan

    keyword whole |*\n yellow
    keyword whole !*\n brightblue

    keyword ${*} brightgreen

    keyword ` brightred
    keyword { brightcyan
    keyword } brightcyan

context  " "            green
    keyword ${*} brightgreen

context exclusive ` `        lightgrey black
    keyword '*' green
    keyword " green
    keyword \\` brightred
    keyword ; brightcyan
    keyword $(*) brightgreen
    keyword ${*} brightgreen
    keyword { brightcyan
    keyword } brightcyan
    keyword [ brightcyan
    keyword ] brightcyan
    keyword ( brightcyan
    keyword ) brightcyan
    keyword -eq brightcyan
    keyword -ne brightcyan

    keyword wholeright $+ brightgreen
    keyword $ brightgreen

    keyword >/dev/null brightblue
    keyword 2>&1 brightblue
    keyword 1>&2 brightblue

    keyword && brightblue
    keyword || brightblue
    keyword >> brightblue

    keyword ES=$? brightgreen

wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789-._

    keyword | cyan
    keyword whole --* cyan
    keyword whole -*\s cyan
    keyword whole \+* cyan
    keyword whole \** cyan
    keyword whole /* cyan
    keyword whole *: cyan

    keyword whole bash cyan
    keyword whole bc cyan
    keyword whole cp cyan
    keyword whole cut cyan
    keyword whole date cyan
    keyword whole echo cyan
    keyword whole egrep cyan
    keyword whole env cyan
    keyword whole expand cyan
    keyword whole formail cyan
    keyword whole gpg cyan
    keyword whole grep cyan
    keyword whole head cyan
    keyword whole killall cyan
    keyword whole md5sum cyan
    keyword whole mimedecode cyan
    keyword whole mkdir cyan
    keyword whole mv cyan
    keyword whole rm cyan
    keyword whole sed cyan
    keyword whole sendmail cyan
    keyword whole sort cyan
    keyword whole tail cyan
    keyword whole tddebbtsd cyan
    keyword whole tdnetsound cyan
    keyword whole test cyan
    keyword whole touch cyan
    keyword whole tr cyan
    keyword whole wc cyan
    keyword whole which cyan

    keyword whole if yellow
    keyword whole then yellow
    keyword whole else yellow
    keyword whole fi yellow
    keyword whole for yellow
    keyword whole in yellow
    keyword whole do yellow
    keyword whole done yellow
PK[��[[verilog.syntaxnu�[���# This is Cooledit syntax-file for verilog
# Created by Andres Farfan, <nafraf@linuxmail.org>
# Feel free to copy & modify this.
# 09/2004

wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ_

# default colors
context default


#Preprocessor keywords
    keyword  whole  `resetall brightred/
    keyword  whole  `define brightred/
    keyword  whole  `timescale brightred/
    keyword  whole  `ifdef brightred/
    keyword  whole  `else brightred/
    keyword  whole  `endif brightred/
    keyword  whole  `include brightred/
    keyword  whole  `signed brightred/
    keyword  whole  `unsigned brightred/
    keyword  whole  `celldefine brightred/
    keyword  whole  `endcelldefine brightred/
    keyword  whole  `default_nettype brightred/
    keyword  whole  `unconnected_drive brightred/
    keyword  whole  `nounconnected_drive brightred/
    keyword  whole  `accelerate brightred/
    keyword  whole  `noaccelerate brightred/
    keyword  whole  `protect brightred/
    keyword  whole  `endprotect brightred/
    keyword  whole  `protected brightred/
    keyword  whole  `endprotected brightred/
    keyword  whole  `expand_vectornets brightred/
    keyword  whole  `noexpand_vectornets brightred/
    keyword  whole  `autoexpand_vectornets brightred/
    keyword  whole  `remove_gatenames brightred/
    keyword  whole  `noremove_gatenames brightred/
    keyword  whole  `remove_netnames brightred/
    keyword  whole  `noremove_netnames brightred/


#Reserved Keywords 1
    keyword  whole  always yellow
    keyword  whole  and yellow
    keyword  whole  assign yellow
    keyword  whole  attribute yellow
    keyword  whole  begin yellow
    keyword  whole  buf yellow
    keyword  whole  bufif0 yellow
    keyword  whole  bufif1 yellow
    keyword  whole  case yellow
    keyword  whole  casex yellow
    keyword  whole  casez yellow
    keyword  whole  cmos yellow
    keyword  whole  deassign yellow
    keyword  whole  default yellow
    keyword  whole  defparam yellow
    keyword  whole  disable yellow
    keyword  whole  edge yellow
    keyword  whole  else yellow
    keyword  whole  end yellow
    keyword  whole  endattribute yellow
    keyword  whole  endcase yellow
    keyword  whole  endmodule yellow
    keyword  whole  endfunction yellow
    keyword  whole  endprimitive yellow
    keyword  whole  endspecify yellow
    keyword  whole  endtable yellow
    keyword  whole  endtask yellow
    keyword  whole  event yellow
    keyword  whole  for yellow
    keyword  whole  force yellow
    keyword  whole  forever yellow
    keyword  whole  fork yellow
    keyword  whole  function yellow
    keyword  whole  highz0 yellow
    keyword  whole  highz1 yellow
    keyword  whole  if yellow
    keyword  whole  initial yellow
    keyword  whole  inout yellow
    keyword  whole  input yellow
    keyword  whole  integer yellow
    keyword  whole  join yellow
    keyword  whole  large yellow
    keyword  whole  macromodule yellow
    keyword  whole  medium yellow
    keyword  whole  module yellow
    keyword  whole  nand yellow
    keyword  whole  negedge yellow
    keyword  whole  nmos yellow
    keyword  whole  nor yellow
    keyword  whole  not yellow
    keyword  whole  notif0 yellow
    keyword  whole  notif1 yellow
    keyword  whole  or yellow
    keyword  whole  output yellow
    keyword  whole  parameter yellow
    keyword  whole  pmos yellow
    keyword  whole  posedge yellow
    keyword  whole  primitive yellow
    keyword  whole  pull0 yellow
    keyword  whole  pull1 yellow
    keyword  whole  pullup yellow
    keyword  whole  pulldown yellow
    keyword  whole  rcmos yellow
    keyword  whole  reg yellow
    keyword  whole  release yellow
    keyword  whole  repeat yellow
    keyword  whole  rnmos yellow
    keyword  whole  rpmos yellow
    keyword  whole  rtran yellow
    keyword  whole  rtranif0 yellow
    keyword  whole  rtranif1 yellow
    keyword  whole  scalared yellow
    keyword  whole  small yellow
    keyword  whole  specify yellow
    keyword  whole  specparam yellow
    keyword  whole  strength yellow
    keyword  whole  strong0 yellow
    keyword  whole  strong1 yellow
    keyword  whole  supply0 yellow
    keyword  whole  supply1 yellow
    keyword  whole  table yellow
    keyword  whole  task yellow
    keyword  whole  time yellow
    keyword  whole  tran yellow
    keyword  whole  tranif0 yellow
    keyword  whole  tranif1 yellow
    keyword  whole  tri yellow
    keyword  whole  tri0 yellow
    keyword  whole  tri1 yellow
    keyword  whole  triand yellow
    keyword  whole  trior yellow
    keyword  whole  trireg yellow
    keyword  whole  use yellow
    keyword  whole  vectored yellow
    keyword  whole  wait yellow
    keyword  whole  wand yellow
    keyword  whole  weak0 yellow
    keyword  whole  weak1 yellow
    keyword  whole  while yellow
    keyword  whole  wire yellow
    keyword  whole  wor yellow
    keyword  whole  xnor yellow
    keyword  whole  xor yellow

#Reserved Keywords 2

    keyword  whole  $bitstoreal yellow
    keyword  whole  $countdrivers yellow
    keyword  whole  $display yellow
    keyword  whole  $dumpall yellow
    keyword  whole  $dumpfile yellow
    keyword  whole  $dumpflush yellow
    keyword  whole  $dumpoff yellow
    keyword  whole  $dumpon yellow
    keyword  whole  $dumpvars yellow
    keyword  whole  $fclose yellow
    keyword  whole  $fdisplay yellow
    keyword  whole  $finish yellow
    keyword  whole  $fmonitor yellow
    keyword  whole  $fopen yellow
    keyword  whole  $fstrobe yellow
    keyword  whole  $fwrite yellow
    keyword  whole  $getpattern yellow
    keyword  whole  $history yellow
    keyword  whole  $hold yellow
    keyword  whole  $incsave yellow
    keyword  whole  $input yellow
    keyword  whole  $itor yellow
    keyword  whole  $key yellow
    keyword  whole  $list yellow
    keyword  whole  $log yellow
    keyword  whole  $monitor yellow
    keyword  whole  $monitoroff yellow
    keyword  whole  $monitoron yellow
    keyword  whole  $nokey yellow
    keyword  whole  $nolog yellow
    keyword  whole  $period yellow
    keyword  whole  $printtimescale yellow
    keyword  whole  $readmemb yellow
    keyword  whole  $readmemh yellow
    keyword  whole  $realtime yellow
    keyword  whole  $realtobits yellow
    keyword  whole  $recovery yellow
    keyword  whole  $reset yellow
    keyword  whole  $reset_count yellow
    keyword  whole  $reset_value yellow
    keyword  whole  $restart yellow
    keyword  whole  $rtoi yellow
    keyword  whole  $save yellow
    keyword  whole  $scale yellow
    keyword  whole  $scope yellow
    keyword  whole  $setup yellow
    keyword  whole  $setuphold yellow
    keyword  whole  $showscopes yellow
    keyword  whole  $showvariables yellow
    keyword  whole  $showvars yellow
    keyword  whole  $skew yellow
    keyword  whole  $sreadmemb yellow
    keyword  whole  $sreadmemh yellow
    keyword  whole  $stime yellow
    keyword  whole  $stop yellow
    keyword  whole  $strobe yellow
    keyword  whole  $time yellow
    keyword  whole  $timeformat yellow
    keyword  whole  $width yellow
    keyword  whole  $write yellow


    keyword > yellow
    keyword < yellow
    keyword \+ yellow
    keyword - yellow
    keyword \* yellow
    keyword / yellow
    keyword % yellow
    keyword = yellow
    keyword != yellow
    keyword == yellow
    keyword { brightcyan
    keyword } brightcyan
    keyword ( brightcyan
    keyword ) brightcyan
    keyword [ brightcyan
    keyword ] brightcyan
    keyword , brightcyan
    keyword . brightcyan
    keyword : brightcyan
    keyword ? brightcyan
    keyword ; brightmagenta


context exclusive /\* \*/ brown
    spellcheck

context exclusive // \n brown
    spellcheck

context " " green/
PK[[�D���smalltalk.syntaxnu�[���###################################################################################
# (GNU) SmallTalk syntax hilighting by Carlos Rodrigues <a9566@lei.di.fct.unl.pt> #
###################################################################################

# I guess this is not very syntax strict, but it makes the code
# a lot more readable.

context default
    keyword whole methodsFor yellow
    keyword whole subclass yellow
    keyword whole class yellow
    keyword whole nil yellow
    keyword whole self yellow
    keyword whole instanceVariableNames yellow
    keyword whole classVariableNames yellow
    keyword whole poolDictionaries yellow
    keyword whole category yellow
    keyword whole super yellow
    keyword whole category yellow
    keyword whole variableSubclass yellow
    keyword whole variableByteSubclass yellow
    keyword whole variableWordSubclass yellow
    keyword whole superclass yellow

    keyword whole not brightmagenta
    keyword whole comment brightmagenta
    keyword whole error brightmagenta
    keyword whole at brightmagenta
    keyword whole put brightmagenta
    keyword whole add brightmagenta
    keyword whole remove brightmagenta
    keyword whole new brightmagenta
    keyword whole ifTrue brightmagenta
    keyword whole ifFalse brightmagenta
    keyword whole whileTrue brightmagenta
    keyword whole whileFalse brightmagenta
    keyword whole ifAbsent brightmagenta
    keyword whole init brightmagenta
    keyword whole do brightmagenta
    keyword whole from brightmagenta
    keyword whole with brightmagenta
    keyword whole do brightmagenta
    keyword whole true brightmagenta
    keyword whole false brightmagenta
    keyword whole or brightmagenta
    keyword whole and brightmagenta
    keyword whole to brightmagenta
    keyword whole by brightmagenta

    keyword whole Smalltalk brightgreen
    keyword whole Object brightgreen
    keyword whole Autoload brightgreen
    keyword whole Behavior brightgreen
    keyword whole ClassDescription brightgreen
    keyword whole Class brightgreen
    keyword whole MetaClass brightgreen
    keyword whole BlockContext brightgreen
    keyword whole Boolean brightgreen
    keyword whole False brightgreen
    keyword whole True brightgreen
    keyword whole CFunctionDescriptor brightgreen
    keyword whole CObject brightgreen
    keyword whole Collection brightgreen
    keyword whole Bag brightgreen
    keyword whole MappedCollection brightgreen
    keyword whole SequenceableCollection brightgreen
    keyword whole ArrayedCollection brightgreen
    keyword whole Array brightgreen
    keyword whole ByteArray brightgreen
    keyword whole CompiledCollection brightgreen
    keyword whole String brightgreen
    keyword whole Symbol brightgreen
    keyword whole Interval brightgreen
    keyword whole LinkedList brightgreen
    keyword whole Semaphore brightgreen
    keyword whole OrderedCollection brightgreen
    keyword whole SortedCollection brightgreen
    keyword whole Set brightgreen
    keyword whole Dictionary brightgreen
    keyword whole IdentityDictionary brightgreen
    keyword whole SystemDictionary brightgreen
    keyword whole Delay brightgreen
    keyword whole FileSegment brightgreen
    keyword whole Link brightgreen
    keyword whole Process brightgreen
    keyword whole SymLink brightgreen
    keyword whole Magnitude brightgreen
    keyword whole Character brightgreen
    keyword whole Date brightgreen
    keyword whole LookupKey brightgreen
    keyword whole Association brightgreen
    keyword whole Number brightgreen
    keyword whole Float brightgreen
    keyword whole Integer brightgreen
    keyword whole Time brightgreen
    keyword whole Memory brightgreen
    keyword whole ByteMemory brightgreen
    keyword whole WordMemory brightgreen
    keyword whole Message brightgreen
    keyword whole MethodContext brightgreen
    keyword whole MethodInfo brightgreen
    keyword whole ProcessorScheduler brightgreen
    keyword whole SharedQueue brightgreen
    keyword whole Stream brightgreen
    keyword whole PositionableStream brightgreen
    keyword whole ReadStream brightgreen
    keyword whole WriteStream brightgreen
    keyword whole ReadWriteStream brightgreen
    keyword whole FileStream brightgreen
    keyword whole Random brightgreen
    keyword whole TokenStream brightgreen
    keyword whole UndefinedObject brightgreen

    keyword wholeright !! brightred
    keyword wholeright ! brightred
    keyword wholeleft ! brightred
    keyword wholeright . brightred

    keyword > cyan
    keyword <> cyan
    keyword < cyan
    keyword \+ cyan
    keyword - cyan
    keyword \* cyan
    keyword / cyan
    keyword % cyan
    keyword = cyan
    keyword | cyan
    keyword # cyan
    keyword @ cyan
    keyword ^ cyan
    keyword { cyan
    keyword } cyan
    keyword ( cyan
    keyword ) cyan
    keyword [ cyan
    keyword ] cyan
    keyword , cyan
    keyword : cyan
    keyword & cyan
    keyword $ cyan
    keyword . cyan
    keyword ! cyan
    keyword ; cyan
    keyword _ cyan
    keyword ~ cyan
    keyword ? cyan

context $ ; cyan

context " " brown

context ' ' brightcyan

    keyword \\\n brightmagenta
    keyword \\" brightmagenta
    keyword \\\\ brightmagenta
    keyword \\' brightmagenta
PK[����==
lkr.syntaxnu�[���# Syntax rules for the gputils .lkr files.

context default

    #=========================
    # Predefined constants
    #
    keyword whole _CRUNTIME black green
    keyword whole _DEBUG black green
    keyword whole _DEBUGCODESTART black green
    keyword whole _DEBUGCODELEN black green
    keyword whole _DEBUGDATASTART black green
    keyword whole _DEBUGDATALEN black green
    keyword whole _EXTENDEDMODE black green

    #=========================
    # Keywords
    #
    keyword whole LIBPATH brightgreen
    keyword whole LKRPATH brightgreen
    keyword whole FILES brightgreen

    keyword whole #DEFINE brightred
    keyword whole #IFDEF brightred
    keyword whole #ELSE brightred
    keyword whole #FI brightred

    keyword whole ACCESSBANK yellow
    keyword whole CODEPAGE yellow
    keyword whole DATABANK yellow
    keyword whole LINEARMEM yellow
    keyword whole PROTECTED yellow
    keyword whole RESERVED yellow
    keyword whole SECTION yellow
    keyword whole SHAREBANK yellow
    keyword whole SHADOW yellow
    keyword whole STACK yellow

    keyword whole END brightmagenta
    keyword whole NAME brightmagenta
    keyword whole SIZE brightmagenta
    keyword whole START brightmagenta

    keyword whole RAM brown
    keyword whole ROM brown

wholechars 0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz_

    #=========================
    # Numbers
    #
    keyword whole 0b\{01_\}\[01_\] brightgreen
    keyword whole 0q\{0123456_\}\[0123456_\] brightgreen
    keyword whole \[-\]\{0123456789_\}\[0123456789_\] brightgreen
    keyword whole 0x\{0123456789ABCDEFabcdef_\}\[0123456789ABCDEFabcdef_\] brightgreen

    #=========================
    # Comments
    #
    keyword //*\n white magenta

    #=========================
    # Special symbols
    #
    keyword - brightcyan
    keyword \* brightcyan
    keyword / brightcyan
    keyword % brightcyan
    keyword \+ brightcyan
    keyword << brightcyan
    keyword >> brightcyan
    keyword = brightcyan
    keyword ( brightcyan
    keyword ) brightcyan
    keyword . brightcyan
    keyword : brightcyan
PK[{��44	tt.syntaxnu�[���#
# Dan Dascalescu
# Template::Toolkit syntax file
# HTML entities
#
context default
    keyword &\[abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ\]; brightgreen
    keyword &#\{xX\}\[0123456789abcdefABCDEF\]; brightgreen
    keyword &#\[0123456789\]; brightgreen

# Although TT is most often used to generate HTML, it's not *only* used for that, so assuming HTML around it may be presumptuous anyway.
# Since cooledit doesn't support sub-context, we can't have TT *in* HTML, like <img src="[% image_url %]">
# The best possible workaround, which still sucks, is to uncomment the line below, which will highlight any HTML tags that don't contain a '[', but without special highlight for strings, and without any HTML-specific keywords
#    keyword <\[abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ01234567890\s"#$%&'()*+,-.=?@\\\]^_`{|}~\]> green
    spellcheck

# TT directives - http://template-toolkit.org/docs/manual/Directives.html
context [% %] red black
    keyword whole GET yellow
    keyword whole CALL yellow
    keyword whole SET yellow
    keyword whole DEFAULT yellow

    keyword whole INSERT yellow
    keyword whole INCLUDE yellow
    keyword whole PROCESS yellow
    keyword whole WRAPPER yellow
    keyword whole BLOCK yellow

    keyword whole IF yellow
    keyword whole UNLESS yellow
    keyword whole ELSIF yellow
    keyword whole ELSE yellow
    keyword whole SWITCH yellow
    keyword whole CASE yellow

    keyword whole FOREACH yellow
    keyword whole IN yellow
    keyword whole WHILE yellow

    keyword whole FILTER yellow
    keyword whole USE yellow
    keyword whole MACRO yellow
    keyword whole PERL yellow
    keyword whole RAWPERL yellow

    keyword whole TRY yellow
    keyword whole THROW yellow
    keyword whole CATCH yellow
    keyword whole FINAL yellow

    keyword whole NEXT yellow
    keyword whole LAST yellow
    keyword whole RETURN yellow
    keyword whole STOP yellow
    keyword whole CLEAR yellow
    keyword whole META yellow
    keyword whole TAGS yellow
    keyword whole DEBUG yellow

    keyword whole END yellow

# AND, OR and NOT are apparently not documented
    keyword whole AND white
    keyword whole OR white
    keyword whole NOT white
    keyword | white

# TT VMethods - http://template-toolkit.org/docs/manual/VMethods.html
    keyword .defined brown
    keyword .length brown
    keyword .repeat brown
    keyword .replace brown
    keyword .remove brown
    keyword .match brown
    keyword .search brown
    keyword .split brown
    keyword .chunk brown
    keyword .substr brown
    keyword .list brown
    keyword .hash brown
    keyword .size brown
    keyword .keys brown
    keyword .values brown
    keyword .items brown
    keyword .each brown
    keyword .pairs brown
    keyword .sort brown
    keyword .nsort brown
    keyword .import brown
    keyword .exists brown
    keyword .delete brown
    keyword .item brown
    keyword .first brown
    keyword .last brown
    keyword .max brown
    keyword .reverse brown
    keyword .join brown
    keyword .grep brown
    keyword .unshift brown
    keyword .push brown
    keyword .shift brown
    keyword .pop brown
    keyword .unique brown
    keyword .merge brown
    keyword .slice brown
    keyword .splice brown

    keyword "*" green
    keyword '*' brightgreen

# HTML comments
context <!-- --> brown
    spellcheck

# HTML DOCTYPE
context <! > brightred/orange
    spellcheck

# HTML end tags
context </ > brightcyan

# HTML open tags - enabling this will hide TT syntax in HTML, e.g. <img src="[% image_url %]">
#context < > brightcyan
#   keyword "*" green
#   keyword = yellow
PK[�*�aayum-repo.syntaxnu�[���# Yum repo syntax highlighting
#
# Author: Andy Shevchenko <andy.shevchenko@gmail.com>

context default
    keyword whole enabled=0 brightred
    keyword whole gpgcheck=0 brightred
    keyword whole enabled=1 brightgreen
    keyword whole gpgcheck=1 brightgreen

context # \n brown
    spellcheck

context [ ] brightcyan
context exclusive = \n    green
PK[{��|oo
opencl.syntaxnu�[���# Syntax rules for the C and the C++ programming languages
#
# List of keywords is based on opencl-mode.el from
# Salmane Bah <salmane.bah@u-bordeaux.fr>

context default
    keyword whole auto yellow
    keyword whole break yellow
    keyword whole case yellow
    keyword whole char yellow
    keyword whole const yellow
    keyword whole continue yellow
    keyword whole do yellow
    keyword whole double yellow
    keyword whole else yellow
    keyword whole enum yellow
    keyword whole extern yellow
    keyword whole float yellow
    keyword whole for yellow
    keyword whole goto yellow
    keyword whole if yellow
    keyword whole int yellow
    keyword whole long yellow
    keyword whole register yellow
    keyword whole return yellow
    keyword whole short yellow
    keyword whole signed yellow
    keyword whole sizeof yellow
    keyword whole static yellow
    keyword whole struct yellow
    keyword whole switch yellow
    keyword whole typedef yellow
    keyword whole union yellow
    keyword whole unsigned yellow
    keyword whole void yellow
    keyword whole volatile yellow
    keyword whole while yellow
    keyword whole asm yellow
    keyword whole inline yellow
    keyword whole wchar_t yellow
    keyword whole ... yellow
    keyword whole linestart \{\s\t\}\[\s\t\]#*\n brightmagenta
    keyword whole \[\s\t\]default yellow
    keyword whole linestart \[\s\t\]\{ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\}\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\]: cyan

# Function type qualifiers
    keyword whole __kernel white

# Variable type qualifiers
    keyword whole __global white
    keyword whole __local white
    keyword whole __constant white
    keyword whole __private white
    keyword whole __read_only white
    keyword whole __write_only white
    keyword whole __read_write white
    keyword whole __enable white
    keyword whole __disable white
    keyword whole global white
    keyword whole local white
    keyword whole constant white
    keyword whole private white
    keyword whole read_only white
    keyword whole write_only white
    keyword whole read_write white
    keyword whole enable white
    keyword whole disable white

# Built-in functions
    keyword whole get_group_id brightmagenta
    keyword whole get_local_id brightmagenta
    keyword whole get_global_id brightmagenta
    keyword whole get_local_size brightmagenta
    keyword whole get_global_size brightmagenta
    keyword whole get_work_dim brightmagenta
    keyword whole get_num_groups brightmagenta
    keyword whole get_global_offset brightmagenta
    keyword whole barrier brightmagenta

# Constants
    keyword whole CLK_LOCAL_MEM_FENCE white
    keyword whole CLK_GLOBAL_MEM_FENCE white
    keyword whole MAXFLOAT white
    keyword whole HUGE_VAL white
    keyword whole HUGE_VALF white
    keyword whole INFINITY white
    keyword whole NAN white

# Built-in types
    keyword whole half yellow
    keyword whole uchar yellow
    keyword whole ushort yellow
    keyword whole uint yellow
    keyword whole ulong yellow
    keyword whole bool yellow
    keyword whole size_t yellow
    keyword whole ptrdiff_t yellow
    keyword whole intptr_t yellow
    keyword whole uintptr_t yellow

    keyword whole image2d_t yellow
    keyword whole image3d_t yellow
    keyword whole image2d_array_t yellow
    keyword whole image3d_array_t yellow
    keyword whole image1d_array_t yellow
    keyword whole image1d_t yellow
    keyword whole image1d_buffer_t yellow
    keyword whole sampler_t yellow
    keyword whole event_t yellow

    keyword whole cl_khr_\{ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz\}\{ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789_\}+ brightgreen

    keyword /\* brown
    keyword \*/ brown
    keyword // brown

    keyword '\\\{"abtnvfr\}' brightgreen
    keyword '\\\{0123\}\{01234567\}\{01234567\}' brightgreen
    keyword '\\'' brightgreen
    keyword '\\\\' brightgreen
    keyword '\\0' brightgreen
    keyword '\{\s!"#$%&()\*\+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[]^_`abcdefghijklmnopqrstuvwxyz{|}~���������������������������������������������������������������������������������������������\}' brightgreen

# punctuation characters, sorted by ASCII code
    keyword ! yellow
    keyword % yellow
    keyword && yellow
    keyword & brightmagenta
    keyword ( brightcyan
    keyword ) brightcyan
    keyword \* yellow
    keyword \+ yellow
    keyword , brightcyan
    keyword - yellow
    keyword / yellow
    keyword : brightcyan
    keyword ; brightmagenta
    keyword < yellow
    keyword = yellow
    keyword > yellow
    keyword ? brightcyan
    keyword [ brightcyan
    keyword ] brightcyan
    keyword ^ brightmagenta
    keyword { brightcyan
    keyword || yellow
    keyword | brightmagenta
    keyword } brightcyan
    keyword ~ brightmagenta

context exclusive /\* \*/ brown
    spellcheck

context exclusive // \n brown
    spellcheck

context linestart # \n brightred
    keyword \\\n yellow
    keyword /\**\*/ brown
    keyword //*\n brown
    keyword "+" red
    keyword <+> red

context " " green
    spellcheck
    keyword \\" brightgreen
    keyword %% brightgreen
    keyword %\[#0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[L\]\{eEfgGoxX\} brightgreen
    keyword %\[0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[hl\]\{diuxX\} brightgreen
    keyword %\[hl\]n brightgreen
    keyword %\[-\]\[0123456789\*\]\[.\]\[0123456789\*\]s brightgreen
    keyword %[*] brightgreen
    keyword %c brightgreen
    keyword %p brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
    keyword \\\\ brightgreen
    keyword \\' brightgreen
    keyword \\\{abtnvfr\} brightgreen
PK[�T�|-|-spec.syntaxnu�[���context default
    keyword whole Auto\{Pp\}rov: green
    keyword whole Auto\{Rr\}eq\{Pp\}rov: green
    keyword whole Auto\{Rr\}eq: green
    keyword whole Build\{Aa\}rch: green
    keyword whole Build\{Cc\}onflicts: green
    keyword whole Build\{Pp\}re\{Rr\}eq: green
    keyword whole Build\{Rr\}oot: green
    keyword whole Build\{Rr\}equires: green
    keyword whole Conflicts: green
    keyword whole Copyright: white
    keyword whole Description: green
    keyword whole Distribution: green
    keyword whole Doc\{Dd\}ir: green
    keyword whole Epoch: green
    keyword whole Exclude\{Aa\}rch: green
    keyword whole Exclusive\{Aa\}rch: green
    keyword whole Exclusive\{Oo\}\{Ss\}: green
    keyword whole Group: green
    keyword whole Icon: green
    keyword whole License: green
    keyword whole Name: green
    keyword whole NoSource\[0123456789\]: green
    keyword whole Obsoletes: green
    keyword whole Packager: green
    keyword whole Patch\[0123456789\]: green
    keyword whole Prefix: green
    keyword whole Pre\{Rr\}eq: green
    keyword whole Provides: green
    keyword whole Release: green
    keyword whole Requires: green
    keyword whole Requires(\[abcdefghijklmnopqrstuvwxyz\]): green
    keyword whole Root: green
    keyword whole Serial: white
    keyword whole Source\[0123456789\]: green
    keyword whole Suggests: green
    keyword whole Summary: green
    keyword whole Vendor: green
    keyword whole Version: green
    keyword whole U\{Rr\}\{Ll\}: green

    keyword whole linestart %build red
    keyword whole linestart %changelog red
    keyword whole linestart %check red
    keyword whole linestart %clean red
    keyword whole linestart %description red
    keyword whole linestart %files red
    keyword whole linestart %install red
    keyword whole linestart %package red
    keyword whole linestart %post red
    keyword whole linestart %postun red
    keyword whole linestart %pre red
    keyword whole linestart %prep red
    keyword whole linestart %preun red

    keyword whole %define brightmagenta
    keyword whole %dump brightmagenta
    keyword whole %global brightmagenta
    keyword whole %trace brightmagenta
    keyword whole %undefine brightmagenta
    keyword %\{ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz_0123456789\}\[ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz_0123456789\] brightred

    keyword whole echo: brightmagenta
    keyword whole warn: brightmagenta
    keyword whole error: brightmagenta
    keyword whole uncompress: brightmagenta
    keyword whole expand: brightmagenta
    keyword whole S: brightmagenta
    keyword whole P: brightmagenta
    keyword whole F: brightmagenta
    keyword %{ brightcyan
    keyword { brightcyan
    keyword } brightcyan

    keyword whole %triggerin magenta
    keyword whole %triggerun magenta
    keyword whole %triggerun magenta

    keyword \\\n yellow
    keyword wholeright $+ brightgreen
    keyword ftp://+ brightgreen
    keyword http://+ brightgreen
    keyword https://+ brightgreen
    keyword cvs://+ brightgreen

    keyword whole missingok brightcyan
    keyword whole noreplace brightcyan

    keyword whole PATCH\[0123456789\] cyan
    keyword whole SOURCE\[0123456789\] cyan

context Group( ): green
    keyword whole af yellow
    keyword whole az yellow
    keyword whole bg yellow
    keyword whole br yellow
    keyword whole ca yellow
    keyword whole ca_ES yellow
    keyword whole cs yellow
    keyword whole cs_CZ yellow
    keyword whole da yellow
    keyword whole da_DK yellow
    keyword whole de yellow
    keyword whole de_AT yellow
    keyword whole de_DE yellow
    keyword whole el yellow
    keyword whole el_GR yellow
    keyword whole en yellow
    keyword whole en_GB yellow
    keyword whole eo yellow
    keyword whole es yellow
    keyword whole es_ES yellow
    keyword whole et yellow
    keyword whole et_EE yellow
    keyword whole eu yellow
    keyword whole fi yellow
    keyword whole fi_FI yellow
    keyword whole fr yellow
    keyword whole fr_FR yellow
    keyword whole gl yellow
    keyword whole gl_ES yellow
    keyword whole gr yellow
    keyword whole he yellow
    keyword whole he_IL yellow
    keyword whole hi yellow
    keyword whole hr yellow
    keyword whole hr_HR yellow
    keyword whole hu yellow
    keyword whole hu_HU yellow
    keyword whole id yellow
    keyword whole is yellow
    keyword whole is_IS yellow
    keyword whole it yellow
    keyword whole it_IT yellow
    keyword whole ja yellow
    keyword whole ja_JP yellow
    keyword whole ja_JP.SJIS yellow
    keyword whole ko yellow
    keyword whole ko_KR yellow
    keyword whole lt yellow
    keyword whole lt_LT yellow
    keyword whole lv yellow
    keyword whole ms yellow
    keyword whole nb yellow
    keyword whole nl yellow
    keyword whole nl_NL yellow
    keyword whole nn yellow
    keyword whole nn_NO yellow
    keyword whole no yellow
    keyword whole no_NO yellow
    keyword whole pl yellow
    keyword whole pl_PL yellow
    keyword whole pt yellow
    keyword whole pt_BR yellow
    keyword whole pt_PT yellow
    keyword whole ro yellow
    keyword whole ro_RO yellow
    keyword whole ru yellow
    keyword whole ru_RU yellow
    keyword whole sk yellow
    keyword whole sk_SK yellow
    keyword whole sl yellow
    keyword whole sl_SI yellow
    keyword whole sr yellow
    keyword whole sv yellow
    keyword whole sv_SE yellow
    keyword whole ta yellow
    keyword whole th_TH yellow
    keyword whole tr yellow
    keyword whole tr_TR yellow
    keyword whole uk yellow
    keyword whole vi yellow
    keyword whole wa yellow
    keyword whole zh yellow
    keyword whole zh_CN yellow
    keyword whole zh_CN.GB2312 yellow
    keyword whole zh_TW yellow
    keyword whole zh_TW.Big5 yellow

context Requires( ): green
    keyword whole post yellow
    keyword whole pre yellow
    keyword whole postun yellow
    keyword whole preun yellow
    keyword , lightgray

context Summary( ): green
    keyword whole af yellow
    keyword whole az yellow
    keyword whole bg yellow
    keyword whole br yellow
    keyword whole ca yellow
    keyword whole ca_ES yellow
    keyword whole cs yellow
    keyword whole cs_CZ yellow
    keyword whole da yellow
    keyword whole da_DK yellow
    keyword whole de yellow
    keyword whole de_AT yellow
    keyword whole de_DE yellow
    keyword whole el yellow
    keyword whole el_GR yellow
    keyword whole en yellow
    keyword whole en_GB yellow
    keyword whole eo yellow
    keyword whole es yellow
    keyword whole es_ES yellow
    keyword whole et yellow
    keyword whole et_EE yellow
    keyword whole eu yellow
    keyword whole fi yellow
    keyword whole fi_FI yellow
    keyword whole fr yellow
    keyword whole fr_FR yellow
    keyword whole gl yellow
    keyword whole gl_ES yellow
    keyword whole gr yellow
    keyword whole he yellow
    keyword whole he_IL yellow
    keyword whole hi yellow
    keyword whole hr yellow
    keyword whole hr_HR yellow
    keyword whole hu yellow
    keyword whole hu_HU yellow
    keyword whole id yellow
    keyword whole is yellow
    keyword whole is_IS yellow
    keyword whole it yellow
    keyword whole it_IT yellow
    keyword whole ja yellow
    keyword whole ja_JP yellow
    keyword whole ja_JP.SJIS yellow
    keyword whole ko yellow
    keyword whole ko_KR yellow
    keyword whole lt yellow
    keyword whole lt_LT yellow
    keyword whole lv yellow
    keyword whole ms yellow
    keyword whole nb yellow
    keyword whole nl yellow
    keyword whole nl_NL yellow
    keyword whole nn yellow
    keyword whole nn_NO yellow
    keyword whole no yellow
    keyword whole no_NO yellow
    keyword whole pl yellow
    keyword whole pl_PL yellow
    keyword whole pt yellow
    keyword whole pt_BR yellow
    keyword whole pt_PT yellow
    keyword whole ro yellow
    keyword whole ro_RO yellow
    keyword whole ru yellow
    keyword whole ru_RU yellow
    keyword whole sk yellow
    keyword whole sk_SK yellow
    keyword whole sl yellow
    keyword whole sl_SI yellow
    keyword whole sr yellow
    keyword whole sv yellow
    keyword whole sv_SE yellow
    keyword whole ta yellow
    keyword whole th_TH yellow
    keyword whole tr yellow
    keyword whole tr_TR yellow
    keyword whole uk yellow
    keyword whole vi yellow
    keyword whole wa yellow
    keyword whole zh yellow
    keyword whole zh_CN yellow
    keyword whole zh_CN.GB2312 yellow
    keyword whole zh_TW yellow
    keyword whole zh_TW.Big5 yellow

context linestart # \n brown
context %( ) brightcyan

# Changelog
context exclusive whole linestart %changelog EOF lightgray
    keyword Revision*\n brightgreen
    keyword \*\s\{MWTFS\}*\n brightgreen
    keyword \s\s[*] brightgreen
    keyword $Log*$\n brightred

#sections
context exclusive linestart %build \n yellow
    keyword whole -l brightred
    keyword whole -n brightred
    keyword whole -p brightred
    keyword %{ brightcyan
    keyword { brightcyan
    keyword } brightcyan

context exclusive linestart %clean \n yellow
    keyword whole -l brightred
    keyword whole -n brightred
    keyword whole -p brightred
    keyword %{ brightcyan
    keyword { brightcyan
    keyword } brightcyan

context exclusive linestart %description \n yellow
    keyword whole -l brightred
    keyword whole -n brightred
    keyword whole -p brightred
    keyword %{ brightcyan
    keyword { brightcyan
    keyword } brightcyan

context exclusive linestart %files \n yellow
    keyword whole -l brightred
    keyword whole -n brightred
    keyword whole -p brightred
    keyword %{ brightcyan
    keyword { brightcyan
    keyword } brightcyan

context exclusive linestart %install \n yellow
    keyword whole -l brightred
    keyword whole -n brightred
    keyword whole -p brightred
    keyword %{ brightcyan
    keyword { brightcyan
    keyword } brightcyan

context exclusive linestart %package \n yellow
    keyword whole -l brightred
    keyword whole -n brightred
    keyword whole -p brightred
    keyword %{ brightcyan
    keyword { brightcyan
    keyword } brightcyan

context exclusive linestart %pre \n yellow
    keyword whole -l brightred
    keyword whole -n brightred
    keyword whole -p brightred
    keyword %{ brightcyan
    keyword { brightcyan
    keyword } brightcyan

context exclusive linestart %prep \n yellow
    keyword whole -l brightred
    keyword whole -n brightred
    keyword whole -p brightred
    keyword %{ brightcyan
    keyword { brightcyan
    keyword } brightcyan

context exclusive linestart %preun \n yellow
    keyword whole -l brightred
    keyword whole -n brightred
    keyword whole -p brightred
    keyword %{ brightcyan
    keyword { brightcyan
    keyword } brightcyan

context exclusive linestart %post \n yellow
    keyword whole -l brightred
    keyword whole -n brightred
    keyword whole -p brightred
    keyword %{ brightcyan
    keyword { brightcyan
    keyword } brightcyan

context exclusive linestart %postun \n yellow
    keyword whole -l brightred
    keyword whole -n brightred
    keyword whole -p brightred
    keyword %{ brightcyan
    keyword { brightcyan
    keyword } brightcyan

#triggers
context exclusive %triggerin \n lightgray
    keyword whole -n brightmagenta
    keyword whole -p brightmagenta
    keyword whole -- brightmagenta

context exclusive %triggerun \n lightgray
    keyword whole -n brightmagenta
    keyword whole -p brightmagenta
    keyword whole -- brightmagenta

context exclusive %triggerpostun \n lightgray
    keyword whole -n brightmagenta
    keyword whole -p brightmagenta
    keyword whole -- brightmagenta
PK[��8�v.v.
ebuild.syntaxnu�[���# Author   : Sergei Trofimovich <slyfox@inbox.ru>
# Language : shell
# Mode     : ebuild
#
# sh.syntax is taken as base.
#
# Note     : keep in sync default and " " contexts
#
# 'sh.syntax' header:
#  #   Since I regulary update ths file, please send any comments
#  #   to:           Michelle Konzack <linux4michelle@freenet.de>
#  #   Last Update:  Thu, 01 Jun 2006 22:10:07 +0200

context default
    #.ebuild specific commands/glo vars
    # TODO: 1. split keywords by .eclass'es
    #       2. grep all exports and place here
    keyword whole CABAL_FEATURES brightcyan
    keyword whole DEPEND brightcyan
    keyword whole DESCRIPTION brightcyan
    keyword whole EAPI brightcyan
    keyword whole EDARCS_REPOSITORY brightcyan
    keyword whole EDARCS_GET_CMD brightcyan
    keyword whole EDARCS_LOCALREPO brightcyan
    keyword whole EGIT_BRANCH brightcyan
    keyword whole EGIT_PROJECT brightcyan
    keyword whole EGIT_REPO_URI brightcyan
    keyword whole EGIT_TREE brightcyan
    keyword whole ESVN_REPO_URI brightcyan
    keyword whole EXPORT_FUNCTIONS brightcyan
    keyword whole HOMEPAGE brightcyan
    keyword whole IUSE brightcyan
    keyword whole KEYWORDS brightcyan
    keyword whole LICENSE brightcyan
    keyword whole PATCHES brightcyan
    keyword whole PDEPEND brightcyan
    keyword whole PROVIDE brightcyan
    keyword whole RDEPEND brightcyan
    keyword whole REQUIRED_USE brightcyan
    keyword whole RESTRICT brightcyan
    keyword whole S brightcyan
    keyword whole SITEFILE brightcyan
    keyword whole SLOT brightcyan
    keyword whole SRC_URI brightcyan
    keyword whole WANT_AUTOCONF brightcyan
    keyword whole WANT_AUTOMAKE brightcyan
    keyword DOCS brightcyan
    keyword LINGUAS brightcyan

    keyword whole _elibtoolize yellow
    keyword whole best_version yellow
    keyword whole built_with_use yellow
    keyword whole check_KV yellow
    keyword whole die yellow
    keyword whole diropts yellow
    keyword whole dobin yellow
    keyword whole docinto yellow
    keyword whole doconfd yellow
    keyword whole dodir yellow
    keyword whole dodoc yellow
    keyword whole doenvd yellow
    keyword whole doexe yellow
    keyword whole dohard yellow
    keyword whole dohtml yellow
    keyword whole doicon yellow
    keyword whole doinfo yellow
    keyword whole doinitd yellow
    keyword whole doins yellow
    keyword whole dolib yellow
    keyword whole dolib.a yellow
    keyword whole dolib.so yellow
    keyword whole doman yellow
    keyword whole domenu yellow
    keyword whole dosbin yellow
    keyword whole dosed yellow
    keyword whole dosym yellow
    keyword whole eaclocal yellow
    keyword whole eapply yellow
    keyword whole eapply_user yellow
    keyword whole eautoconf yellow
    keyword whole eautoreconf yellow
    keyword whole eautomake yellow
    keyword whole ebegin yellow
    keyword whole econf yellow
    keyword whole eend yellow
    keyword whole eerror yellow
    keyword whole einfo yellow
    keyword whole einstall yellow
    keyword whole einstalldocs yellow
    keyword whole elibtoolize yellow
    keyword whole elog yellow
    keyword whole emake yellow
    keyword whole epatch yellow
    keyword whole epatch_user yellow
    keyword whole ewarn yellow
    keyword whole exeinto yellow
    keyword whole exeopts yellow
    keyword whole enewgroup yellow
    keyword whole enewuser yellow
    keyword whole fowners yellow
    keyword whole fperms yellow
    keyword whole has yellow
    keyword whole hasq yellow
    keyword whole has_version yellow
    keyword whole insinto yellow
    keyword whole insopts yellow
    keyword whole intltoolize yellow
    keyword whole into yellow
    keyword whole keepdir yellow
    keyword whole libopts yellow
    keyword whole local yellow
    keyword whole newbin yellow
    keyword whole newconfd yellow
    keyword whole newdoc yellow
    keyword whole newexe yellow
    keyword whole newicon yellow
    keyword whole newins yellow
    keyword whole newman yellow
    keyword whole newmenu yellow
    keyword whole newsbin yellow
    keyword whole newinitd yellow
    keyword whole pax-mark yellow
    keyword whole prepall yellow
    keyword whole prepalldocs yellow
    keyword whole prepallinfo yellow
    keyword whole prepallman yellow
    keyword whole unpack yellow
    keyword whole use yellow
    keyword whole use_enable yellow
    keyword whole use_with yellow
    #base
    keyword whole base_src_unpack yellow
    #cvs
    keyword whole cvs_src_unpack yellow
    #darcs
    keyword whole darcs_src_unpack yellow
    #elisp-common
    keyword whole elisp-compile yellow
    keyword whole elisp-install yellow
    keyword whole elisp-site-file-install yellow
    keyword whole elisp-site-regen yellow
    #flag-o-matic
    keyword whole append-flags yellow
    keyword whole filter-flags yellow
    keyword whole replace-flags yellow
    keyword whole strip-flags yellow
    keyword whole strip-unsupported-flags yellow
    #git
    keyword whole git_src_unpack yellow
    #java-ant-2
    keyword whole EANT_BUILD_TARGET yellow
    #mercurial
    keyword whole mercurial_src_unpack yellow
    #svn
    keyword whole subversion_src_unpack yellow

    #bashism (builtin extended 'test')
    keyword whole [[ brightcyan
    keyword whole ]] brightcyan

####################
# here starts original sh.syntax (with core commands only)
####################

    keyword ! brightred
    keyword ;; brightred
    keyword \\@ brightred
    keyword \\$ brightred
    keyword \\\\ brightred
    keyword \\" brightred
    keyword \\' brightred
    keyword \\` brightred
    keyword ` brightred
    keyword ; brightcyan
    keyword $(*) brightgreen
    keyword ${*} brightgreen
    keyword { brightcyan
    keyword } brightcyan

    keyword whole linestart #!\[\s\]*\n brightcyan black

    keyword $\* brightred
    keyword $@ brightred
    keyword $# brightred
    keyword $? brightred
    keyword $- brightred
    keyword $$ brightred
    keyword $! brightred
    keyword $_ brightred

    keyword whole 2>&1 brightred
    keyword whole 1>&2 brightred
    keyword whole 2> brightred
    keyword whole 1> brightred

    keyword wholeright $\[0123456789\] brightred

    keyword wholeright $+ brightgreen

    keyword $ brightgreen

    keyword wholeleft linestart function*() brightmagenta
    keyword wholeleft linestart function\[\s\]+ brightmagenta
    keyword wholeright +() brightmagenta

wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789-._

    keyword whole break yellow
    keyword whole case yellow
    keyword whole clear yellow
    keyword whole continue yellow
    keyword whole declare yellow
    keyword whole done yellow
    keyword whole do yellow
    keyword whole elif yellow
    keyword whole else yellow
    keyword whole esac yellow
    keyword whole exit yellow
    keyword whole export yellow
    keyword whole fi yellow
    keyword whole for yellow
    keyword whole getopts yellow
    keyword whole if yellow
    keyword whole in yellow
    keyword whole read yellow
    keyword whole return yellow
    keyword whole select yellow
    keyword whole shift yellow
    keyword whole source yellow
    keyword whole then yellow
    keyword whole trap yellow
    keyword whole until yellow
    keyword whole unset yellow
    keyword whole wait yellow
    keyword whole while yellow

# here goes usable subset for .ebuild commands
    keyword whole awk cyan
    keyword whole cd cyan
    keyword whole chown cyan
    keyword whole chmod cyan
    keyword whole cmake cyan
    keyword whole cp cyan
    keyword whole echo cyan
    keyword whole egrep cyan
    keyword whole fgrep cyan
    keyword whole find cyan
    keyword whole grep cyan
    keyword whole ln cyan
    keyword whole make cyan
    keyword whole mkdir cyan
    keyword whole mknod cyan
    keyword whole mv cyan
    keyword whole perl cyan
    keyword whole pod2man cyan
    keyword whole popd cyan
    keyword whole pushd cyan
    keyword whole rm cyan
    keyword whole sed cyan
    keyword whole sh cyan
    keyword whole touch cyan
    keyword whole xmkmf cyan

# some interesting files to copy
    keyword whole ANNOUNCEMENT white
    keyword whole AUTHORS white
    keyword whole BUGS white
    keyword whole CHANGES white
    keyword whole COPYING white
    keyword whole COPYRIGHT white
    keyword whole CREDITS white
    keyword whole ChangeLog white
    keyword whole FAQ white
    keyword whole HACKING white
    keyword whole INSTALL white
    keyword whole LICENSE white
    keyword whole NEWS white
    keyword whole README white
    keyword whole THANKS white
    keyword whole TODO white
    keyword whole VERSION white

    keyword whole /dev/audio brightblue
    keyword whole /dev/dsp brightblue
    keyword whole /dev/null brightblue
    keyword whole /dev/mixed brightblue
    keyword whole /dev/stdin brightblue
    keyword whole /dev/stdout brightblue
    keyword whole /dev/stderr brightblue
    keyword whole /dev/zero brightblue

wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789_

context # \n brown
    spellcheck

context exclusive whole <<\[\s\]\[-\]\[\s\]\[\\\]EOF EOF green
context exclusive whole <<\[\s\]\[-\]\[\s\]\[\\\]END END green

context ' ' green

context " " green
    keyword \\* brightgreen
    keyword \\@ brightgreen
    keyword \\$ brightgreen
    keyword \\\\ brightgreen
    keyword \\` brightgreen
    keyword \\" brightgreen
    keyword $(*) brightgreen
    keyword ${*} brightgreen
    keyword $\* brightred
    keyword $@ brightred
    keyword $# brightred
    keyword $? brightred
    keyword $- brightred
    keyword $$ brightred
    keyword $! brightred
    keyword $_ brightred
    keyword wholeright $\[0123456789\] brightred

    keyword wholeright $+ brightgreen

    keyword $ brightgreen

context exclusive ` ` lightgray black
    keyword '*' green
    keyword " green
    keyword \\` green
    keyword ; brightcyan
    keyword $(*) brightgreen
    keyword ${*} brightgreen
    keyword { brightcyan
    keyword } brightcyan

    keyword $\* brightred
    keyword $@ brightred
    keyword $# brightred
    keyword $? brightred
    keyword $- brightred
    keyword $$ brightred
    keyword $! brightred
    keyword $_ brightred

    keyword whole 2>&1 brightred
    keyword whole 2> brightred
    keyword whole 1> brightred

    keyword wholeright $\[0123456789\] brightred

    keyword wholeright $+ brightgreen

    keyword $ brightgreen

wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789-._

    keyword whole break yellow
    keyword whole case yellow
    keyword whole clear yellow
    keyword whole continue yellow
    keyword whole declare yellow
    keyword whole done yellow
    keyword whole do yellow
    keyword whole elif yellow
    keyword whole else yellow
    keyword whole esac yellow
    keyword whole exit yellow
    keyword whole export yellow
    keyword whole fi yellow
    keyword whole for yellow
    keyword whole getopts yellow
    keyword whole if yellow
    keyword whole in yellow
    keyword whole read yellow
    keyword whole return yellow
    keyword whole select yellow
    keyword whole shift yellow
    keyword whole source yellow
    keyword whole then yellow
    keyword whole trap yellow
    keyword whole until yellow
    keyword whole unset yellow
    keyword whole wait yellow
    keyword whole while yellow

# here goes usable subset for .ebuild commands
    keyword whole awk cyan
    keyword whole sed cyan

    keyword whole /dev/audio brightblue
    keyword whole /dev/dsp brightblue
    keyword whole /dev/null brightblue
    keyword whole /dev/mixed brightblue
    keyword whole /dev/stdin brightblue
    keyword whole /dev/stdout brightblue
    keyword whole /dev/stderr brightblue
    keyword whole /dev/zero brightblue

context inherit \n magenta
    keyword whole inherit yellow
PK[a�����
lsm.syntaxnu�[���
context default
    spellcheck
    keyword linestart Begin3 brightmagenta
    keyword linestart Title:\s\s\s\s\s\s\s\s\s\s red yellow
    keyword linestart Version:\s\s\s\s\s\s\s\s red yellow
    keyword linestart Entered-date:\s\s\s red yellow
    keyword linestart Description:\s\s\s\s red yellow
    keyword linestart Keywords:\s\s\s\s\s\s\s red yellow
    keyword linestart Alternate-site:\s red yellow
    keyword linestart Primary-site:\s\s\s red yellow
    keyword linestart Original-site:\s\s red yellow
    keyword linestart Platforms:\s\s\s\s\s\s red yellow
    keyword linestart Copying-policy:\s red yellow
    keyword linestart End brightmagenta

    keyword linestart \t\t                white yellow
    keyword linestart \s\s\s\s\s\s\s\s\s\s\s\s\s\s\s\s white yellow
    keyword whole GPL green
    keyword whole BSD green
    keyword whole Shareware green
    keyword whole sunsite.unc.edu green
    keyword wholeright \s*.tar.gz green
    keyword wholeright \s*.lsm green

context linestart Author:\s\s\s\s\s\s\s\s\s \n brightred
    keyword whole \s*@*\s(*) cyan

context linestart Maintained-by:\s\s \n brightred
    keyword whole \s*@*\s(*) cyan

###############################################################################
PK[\�	rrdebian-sources-list.syntaxnu�[���context default
    keyword linestart deb-src brightmagenta/20
    keyword linestart deb brightmagenta/20
    keyword \$\(ARCH\) brightred/18

context # \n brown/22
context ftp\:\/\/ \s green/6
context http\:\/\/ \s green/6
context cdrom\:\/ \s yellow/24
context file\:\/ \s brightcyan/14

###############################################################################
PK[��!bbcuda.syntaxnu�[���# Cuda syntax file

# Authors:
#    Sergey Sharybin <sergey.vfx@gmail.com>
#
# Based on cxx.syntax file with some extra keywords to be
# highlighted,

context default
    keyword whole auto yellow
    keyword whole break yellow
    keyword whole case yellow
    keyword whole char yellow
    keyword whole const yellow
    keyword whole continue yellow
    keyword whole default yellow
    keyword whole do yellow
    keyword whole double yellow
    keyword whole else yellow
    keyword whole enum yellow
    keyword whole extern yellow
    keyword whole float yellow
    keyword whole for yellow
    keyword whole goto yellow
    keyword whole if yellow
    keyword whole int yellow
    keyword whole long yellow
    keyword whole register yellow
    keyword whole return yellow
    keyword whole short yellow
    keyword whole signed yellow
    keyword whole sizeof yellow
    keyword whole static yellow
    keyword whole struct yellow
    keyword whole switch yellow
    keyword whole typedef yellow
    keyword whole union yellow
    keyword whole unsigned yellow
    keyword whole void yellow
    keyword whole volatile yellow
    keyword whole while yellow
    keyword whole asm yellow
    keyword whole catch yellow
    keyword whole class yellow
    keyword whole friend yellow
    keyword whole delete yellow
    keyword whole inline yellow
    keyword whole new yellow
    keyword whole operator yellow
    keyword whole private yellow
    keyword whole protected yellow
    keyword whole public yellow
    keyword whole this yellow
    keyword whole throw yellow
    keyword whole template yellow
    keyword whole try yellow
    keyword whole virtual yellow
    keyword whole bool yellow
    keyword whole const_cast yellow
    keyword whole dynamic_cast yellow
    keyword whole explicit yellow
    keyword whole false yellow
    keyword whole mutable yellow
    keyword whole namespace yellow
    keyword whole reinterpret_cast yellow
    keyword whole static_cast yellow
    keyword whole true yellow
    keyword whole typeid yellow
    keyword whole typename yellow
    keyword whole using yellow
    keyword whole wchar_t yellow
    keyword whole ... yellow
    keyword whole linestart \{\s\t\}\[\s\t\]#*\n brightmagenta

# Function type qualifiers
    keyword whole __device__ white
    keyword whole __global__ white
    keyword whole __host__ white

    keyword whole __noinline__ white
    keyword whole __forceinline__ white

# Variable type qualifiers
    keyword whole __constant__ white
    keyword whole __shared__ white
    keyword whole __managed__ white
    keyword whole __restrict__ white

# Built-in variables
    keyword whole threadIdx white
    keyword whole blockIdx white
    keyword whole blockDim white
    keyword whole gridDim white
    keyword whole warpSize white

# Synchronization
    keyword whole __syncthreads white
    keyword whole __threadfence white

    keyword /\* brown
    keyword \*/ brown
    keyword // brown

    keyword '\\\{"abtnvfr\}' brightgreen
    keyword '\\\{0123\}\{01234567\}\{01234567\}' brightgreen
    keyword '\\'' brightgreen
    keyword '\\\\' brightgreen
    keyword '\\0' brightgreen
    keyword '\{\s!"#$%&()\*\+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[]^_`abcdefghijklmnopqrstuvwxyz{|}~���������������������������������������������������������������������������������������������\}' brightgreen

    keyword > yellow
    keyword < yellow
    keyword \+ yellow
    keyword - yellow
    keyword \* yellow
    keyword / yellow
    keyword % yellow
    keyword = yellow
    keyword != yellow
    keyword == yellow
    keyword { brightcyan
    keyword } brightcyan
    keyword ( brightcyan
    keyword ) brightcyan
    keyword [ brightcyan
    keyword ] brightcyan
    keyword , brightcyan
    keyword : brightcyan
    keyword ? brightcyan
    keyword ; brightmagenta

context exclusive /\* \*/ brown
    spellcheck

context exclusive // \n brown
    spellcheck

context linestart # \n brightred
    keyword \\\n yellow
    keyword /\**\*/ brown
    keyword //*\n brown
    keyword "+" red
    keyword <+> red

context " " green
    spellcheck
    keyword \\" brightgreen
    keyword %% brightgreen
    keyword %\[#0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[L\]\{eEfgGoxX\} brightgreen
    keyword %\[0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[hl\]\{diuxX\} brightgreen
    keyword %\[hl\]n brightgreen
    keyword %\[-\]\[0123456789\*\]\[.\]\[0123456789\*\]s brightgreen
    keyword %[*] brightgreen
    keyword %c brightgreen
    keyword %p brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
    keyword \\\\ brightgreen
    keyword \\' brightgreen
    keyword \\\{abtnvfr\} brightgreen
PK[;~��(�(
cython.syntaxnu�[���context default
    keyword : brightred
    keyword . white/Orange
    keyword > yellow
    keyword < yellow
    keyword \+ yellow
    keyword - yellow
    keyword \* yellow
    keyword / yellow
    keyword % yellow
    keyword = yellow
    keyword != yellow
    keyword == yellow
    keyword { brightcyan
    keyword } brightcyan
    keyword ( brightcyan
    keyword ) brightcyan
    keyword [ brightcyan
    keyword ] brightcyan
    keyword , brightcyan
    keyword ; brightmagenta
    keyword whole self brightred
    keyword whole and yellow
    keyword whole as yellow
    keyword whole assert yellow
    keyword whole break yellow
    keyword whole class yellow
    keyword whole continue yellow
    keyword whole def yellow
    keyword whole del yellow
    keyword whole elif yellow
    keyword whole else yellow
    keyword whole except? yellow
    keyword whole except yellow
    keyword whole exec yellow
    keyword whole finally yellow
    keyword whole for yellow
    keyword whole from yellow
    keyword whole global yellow
    keyword whole if yellow
    keyword whole import yellow
    keyword whole in yellow
    keyword whole is yellow
    keyword whole lambda yellow
    keyword whole not yellow
    keyword whole or yellow
    keyword whole pass yellow
    keyword whole print yellow
    keyword whole raise yellow
    keyword whole return yellow
    keyword whole try yellow
    keyword whole while yellow
    keyword whole with yellow
    keyword whole yield yellow
    keyword whole by yellow
    keyword whole cdef yellow
    keyword whole cimport yellow
    keyword whole cpdef yellow
    keyword whole ctypedef yellow
    keyword whole enum yellow
    keyword whole extern yellow
    keyword whole public yellow
    keyword whole sizeof yellow
    keyword whole struct yellow
    keyword whole union yellow
    keyword whole DEF yellow
    keyword whole IF yellow
    keyword whole ELIF yellow
    keyword whole ELSE yellow

    keyword whole abs brightcyan
    keyword whole all brightcyan
    keyword whole any brightcyan
    keyword whole basestring brightcyan
    keyword whole bin brightcyan
    keyword whole bool brightcyan
    keyword whole callable brightcyan
    keyword whole chr brightcyan
    keyword whole classmethod brightcyan
    keyword whole cmp brightcyan
    keyword whole coerce brightcyan
    keyword whole compile brightcyan
    keyword whole complex brightcyan
    keyword whole delattr brightcyan
    keyword whole dict brightcyan
    keyword whole dir brightcyan
    keyword whole divmod brightcyan
    keyword whole enumerate brightcyan
    keyword whole eval brightcyan
    keyword whole execfile brightcyan
    keyword whole file brightcyan
    keyword whole filter brightcyan
    keyword whole float brightcyan
    keyword whole frozenset brightcyan
    keyword whole getattr brightcyan
    keyword whole globals brightcyan
    keyword whole hasattr brightcyan
    keyword whole hash brightcyan
    keyword whole help brightcyan
    keyword whole hex brightcyan
    keyword whole id brightcyan
    keyword whole input brightcyan
    keyword whole int brightcyan
    keyword whole isinstance brightcyan
    keyword whole issubclass brightcyan
    keyword whole iter brightcyan
    keyword whole len brightcyan
    keyword whole list brightcyan
    keyword whole locals brightcyan
    keyword whole long brightcyan
    keyword whole map brightcyan
    keyword whole max brightcyan
    keyword whole min brightcyan
    keyword whole next brightcyan
    keyword whole object brightcyan
    keyword whole oct brightcyan
    keyword whole open brightcyan
    keyword whole ord brightcyan
    keyword whole pow brightcyan
    keyword whole print brightcyan
    keyword whole property brightcyan
    keyword whole range brightcyan
    keyword whole raw_input brightcyan
    keyword whole reduce brightcyan
    keyword whole reload brightcyan
    keyword whole repr brightcyan
    keyword whole reversed brightcyan
    keyword whole round brightcyan
    keyword whole setattr brightcyan
    keyword whole slice brightcyan
    keyword whole sorted brightcyan
    keyword whole staticmethod brightcyan
    keyword whole str brightcyan
    keyword whole sum brightcyan
    keyword whole super brightcyan
    keyword whole tuple brightcyan
    keyword whole type brightcyan
    keyword whole unichr brightcyan
    keyword whole unicode brightcyan
    keyword whole vars brightcyan
    keyword whole xrange brightcyan
    keyword whole zip brightcyan
    keyword whole NULL brightcyan
    keyword whole void brightcyan
    keyword whole bint brightcyan
    keyword whole char brightcyan
    keyword whole short brightcyan
    keyword whole double brightcyan
    keyword whole Py_ssize_t brightcyan
    keyword whole size_t brightcyan

    keyword whole atof magenta
    keyword whole atoi magenta
    keyword whole atol magenta
    keyword whole expandtabs magenta
    keyword whole find magenta
    keyword whole rfind magenta
    keyword whole index magenta
    keyword whole rindex magenta
    keyword whole count magenta
    keyword whole split magenta
    keyword whole splitfields magenta
    keyword whole join magenta
    keyword whole joinfields magenta
    keyword whole strip magenta
    keyword whole lstrip magenta
    keyword whole rstrip magenta
    keyword whole swapcase magenta
    keyword whole upper magenta
    keyword whole lower magenta
    keyword whole ljust magenta
    keyword whole rjust magenta
    keyword whole center magenta
    keyword whole zfill magenta

    keyword whole include brightmagenta
    keyword whole gil red
    keyword whole nogil red
    keyword whole readonly red

    keyword whole __init__ lightgray
    keyword whole __del__ lightgray
    keyword whole __repr__ lightgray
    keyword whole __str__ lightgray
    keyword whole __cmp__ lightgray
    keyword whole __hash__ lightgray
    keyword whole __call__ lightgray
    keyword whole __getattr__ lightgray
    keyword whole __setattr__ lightgray
    keyword whole __delattr__ lightgray
    keyword whole __len__ lightgray
    keyword whole __getitem__ lightgray
    keyword whole __setitem__ lightgray
    keyword whole __delitem__ lightgray
    keyword whole __getslice__ lightgray
    keyword whole __setslice__ lightgray
    keyword whole __delslice__ lightgray
    keyword whole __add__ lightgray
    keyword whole __sub__ lightgray
    keyword whole __mul__ lightgray
    keyword whole __div__ lightgray
    keyword whole __mod__ lightgray
    keyword whole __divmod__ lightgray
    keyword whole __pow__ lightgray
    keyword whole __lshift__ lightgray
    keyword whole __rshift__ lightgray
    keyword whole __and__ lightgray
    keyword whole __xor__ lightgray
    keyword whole __or__ lightgray
    keyword whole __neg__ lightgray
    keyword whole __pos__ lightgray
    keyword whole __abs__ lightgray
    keyword whole __invert__ lightgray
    keyword whole __nonzero__ lightgray
    keyword whole __coerce__ lightgray
    keyword whole __int__ lightgray
    keyword whole __long__ lightgray
    keyword whole __float__ lightgray
    keyword whole __oct__ lightgray
    keyword whole __hex__ lightgray

    keyword whole __radd__ lightgray
    keyword whole __rsub__ lightgray
    keyword whole __rmul__ lightgray
    keyword whole __rdiv__ lightgray
    keyword whole __rmod__ lightgray
    keyword whole __rdivmod__ lightgray
    keyword whole __rpow__ lightgray
    keyword whole __rlshift__ lightgray
    keyword whole __rrshift__ lightgray
    keyword whole __rand__ lightgray
    keyword whole __rxor__ lightgray
    keyword whole __ror__ lightgray

    keyword whole __+__ brightred

context """ """ green
    keyword \\" brightgreen
    keyword \\\n brightgreen
    keyword %% brightgreen
    keyword %\[#0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[L\]\{eEfgGoxX\} brightgreen
    keyword %\[0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[hl\]\{diu\} brightgreen
    keyword %\[hl\]n brightgreen
    keyword %\[.\]\[0123456789\]s brightgreen
    keyword %[*] brightgreen
    keyword %c brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
    keyword \\\\{01234567\}\{01234567\} brightgreen
    keyword \\\\{01234567\} brightgreen
    keyword \\\\ brightgreen
    keyword \\' brightgreen
    keyword \\\{abtnvfr\} brightgreen

context ''' ''' green
    keyword \\" brightgreen
    keyword \\\n brightgreen
    keyword %% brightgreen
    keyword %\[#0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[L\]\{eEfgGoxX\} brightgreen
    keyword %\[0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[hl\]\{diu\} brightgreen
    keyword %\[hl\]n brightgreen
    keyword %\[.\]\[0123456789\]s brightgreen
    keyword %[*] brightgreen
    keyword %c brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
    keyword \\\\{01234567\}\{01234567\} brightgreen
    keyword \\\\{01234567\} brightgreen
    keyword \\\\ brightgreen
    keyword \\' brightgreen
    keyword \\\{abtnvfr\} brightgreen

context # \n brown
    keyword coding\{=:\}\[\s\]\[-0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] brightmagenta
    keyword vim:fileencoding=\[-0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] brightmagenta
    keyword -\*-\[\s\]coding:\[\s\]\[-0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\]\[\s\]-\*- brightmagenta
    spellcheck

context " " green
    keyword \\" brightgreen
    keyword %% brightgreen
    keyword %\[#0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[L\]\{eEfgGoxX\} brightgreen
    keyword %\[0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[hl\]\{diuxX\} brightgreen
    keyword %\[hl\]n brightgreen
    keyword %\[.\]\[0123456789\]s brightgreen
    keyword %[*] brightgreen
    keyword %c brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
    keyword \\\\ brightgreen
    keyword \\' brightgreen
    keyword \\\{abtnvfr\} brightgreen

context ' ' green
    keyword \\" brightgreen
    keyword \\\n brightgreen
    keyword %% brightgreen
    keyword %\[#0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[L\]\{eEfgGoxX\} brightgreen
    keyword %\[0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[hl\]\{diuxX\} brightgreen
    keyword %\[hl\]n brightgreen
    keyword %\[.\]\[0123456789\]s brightgreen
    keyword %[*] brightgreen
    keyword %c brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
    keyword \\\\ brightgreen
    keyword \\' brightgreen
    keyword \\\{abtnvfr\} brightgreen
PK[酮R*R*
octave.syntaxnu�[���# Octave/Matlab syntax file

context default
    keyword whole function white
    keyword whole endfunction white
    keyword whole global white
    keyword whole for white
    keyword whole end white
    keyword whole while white
    keyword whole if white
    keyword whole else white
    keyword whole elseif white
    keyword whole endif white
    keyword whole break white
    keyword whole switch white
    keyword whole case white
    keyword whole and white
    keyword whole or white
    keyword whole and white

    keyword ) brightcyan
    keyword ( brightcyan
    keyword [ brightcyan
    keyword ] brightcyan
    keyword , brightcyan
    keyword ; brightcyan
    keyword = brightcyan
    keyword \+ brightcyan
    keyword - brightcyan
    keyword \* brightcyan
    keyword / brightcyan
    keyword \^ brightcyan
    keyword ! brightcyan
    keyword & brightcyan
    keyword | brightcyan
    keyword > brightcyan
    keyword < brightcyan

# STD functions

    keyword whole info yellow
    keyword whole whatsnew yellow
    keyword whole hostid yellow
    keyword whole help yellow
    keyword whole lookfor yellow
    keyword whole what yellow
    keyword whole which yellow
    keyword whole type yellow
    keyword whole edit yellow
    keyword whole pcode yellow
    keyword whole quit yellow
    keyword whole exit yellow
    keyword whole who yellow
    keyword whole whos yellow
    keyword whole save yellow
    keyword whole load yellow
    keyword whole memory yellow
    keyword whole clear yellow
    keyword whole pack yellow
    keyword whole workspace yellow
    keyword whole pathdef yellow
    keyword whole path yellow
    keyword whole addpath yellow
    keyword whole echo yellow
    keyword whole format yellow
    keyword whole diary yellow
    keyword whole pwd yellow
    keyword whole cd yellow
    keyword whole dir yellow

# Data/Type functions

    keyword whole logical yellow
    keyword whole isnumeric yellow
    keyword whole islogical yellow
    keyword whole isempty yellow
    keyword whole isequal yellow
    keyword whole reshape yellow
    keyword whole repmat yellow
    keyword whole find yellow
    keyword whole length yellow
    keyword whole size yellow
    keyword whole cross yellow
    keyword whole kron yellow
    keyword whole linspace yellow
    keyword whole logspace yellow
    keyword whole meshgrid yellow
    keyword whole zeros yellow
    keyword whole ones yellow
    keyword whole rand yellow
    keyword whole randn yellow
    keyword whole end yellow
    keyword whole ind2sub yellow
    keyword whole sub2ind yellow
    keyword whole repmat yellow
    keyword whole cat yellow
    keyword whole ndims yellow
    keyword whole ndgrid yellow
    keyword whole permute yellow
    keyword whole ipermute yellow
    keyword whole shiftdim yellow
    keyword whole flipdim yellow
    keyword whole squeeze yellow
    keyword whole struct yellow
    keyword whole fieldnames yellow
    keyword whole getfield yellow
    keyword whole setfield yellow
    keyword whole rmfield yellow
    keyword whole isfield yellow
    keyword whole isstruct yellow
    keyword whole cell yellow
    keyword whole celldisp yellow
    keyword whole cellplot yellow
    keyword whole cellstr yellow
    keyword whole deal yellow
    keyword whole iscell yellow
    keyword whole num2cell yellow
    keyword whole cell2struct yellow
    keyword whole struct2cell yellow
    keyword whole lists yellow
    keyword whole varargin yellow
    keyword whole varargout yellow
    keyword whole inline yellow
    keyword whole argnames yellow
    keyword whole formula yellow
    keyword whole char yellow
    keyword whole vectorsize yellow
    keyword whole class yellow
    keyword whole isa yellow
    keyword whole isobject yellow
    keyword whole methods yellow
    keyword whole inferioto yellow
    keyword whole superioto yellow
    keyword whole horzcat yellow
    keyword whole vertcat yellow
    keyword whole subsref yellow
    keyword whole subsasgn yellow
    keyword whole subsindex yellow

#   <!-- Misc functions -->

    keyword whole ans yellow
    keyword whole inf yellow
    keyword whole NaN yellow
    keyword whole Pi yellow
    keyword whole eps yellow
    keyword whole log2 yellow
    keyword whole pow2 yellow
    keyword whole realmin yellow
    keyword whole realmax yellow
    keyword whole all yellow
    keyword whole any yellow
    keyword whole exist yellow
    keyword whole bitset yellow
    keyword whole bitget yellow
    keyword whole bitmax yellow
    keyword whole bitcmp yellow
    keyword whole bitand yellow
    keyword whole bitor yellow
    keyword whole bitxor yellow
    keyword whole bitshift yellow
    keyword whole ismember yellow
    keyword whole unique yellow
    keyword whole union yellow
    keyword whole intersect yellow
    keyword whole setdiff yellow
    keyword whole setxor yellow
    keyword whole eval yellow
    keyword whole feval yellow
    keyword whole builtin yellow
    keyword whole assignin yellow
    keyword whole evalin yellow
    keyword whole run yellow
    keyword whole nargchk yellow
    keyword whole nargin yellow
    keyword whole nargout yellow
    keyword whole inputname yellow
    keyword whole input yellow
    keyword whole pause yellow
    keyword whole error yellow
    keyword whole warning yellow
    keyword whole lasterr yellow
    keyword whole lastwarn yellow
    keyword whole disp yellow
    keyword whole fprintf yellow
    keyword whole sprintf yellow

#   <!-- Math functions -->

    keyword whole abs yellow
    keyword whole angle yellow
    keyword whole real yellow
    keyword whole imag yellow
    keyword whole conj yellow
    keyword whole cplxpair yellow
    keyword whole ceil yellow
    keyword whole fix yellow
    keyword whole floor yellow
    keyword whole round yellow
    keyword whole mod yellow
    keyword whole rem yellow
    keyword whole sign yellow
    keyword whole factor yellow
    keyword whole primes yellow
    keyword whole gcd yellow
    keyword whole lcm yellow
    keyword whole rat yellow
    keyword whole rats yellow
    keyword whole perms yellow
    keyword whole nchoosek yellow
    keyword whole sqrt yellow
    keyword whole exp yellow
    keyword whole log yellow
    keyword whole pow2 yellow
    keyword whole nextpow yellow
    keyword whole log2 yellow
    keyword whole log10 yellow
    keyword whole sin yellow
    keyword whole sinh yellow
    keyword whole asin yellow
    keyword whole asinh yellow
    keyword whole csc yellow
    keyword whole csch yellow
    keyword whole ascc yellow
    keyword whole ascch yellow
    keyword whole cos yellow
    keyword whole cosh yellow
    keyword whole acos yellow
    keyword whole acosh yellow
    keyword whole sec yellow
    keyword whole sech yellow
    keyword whole asec yellow
    keyword whole asech yellow
    keyword whole tan yellow
    keyword whole tanh yellow
    keyword whole atan yellow
    keyword whole atan2 yellow
    keyword whole atanh yellow
    keyword whole cot yellow
    keyword whole coth yellow
    keyword whole acot yellow
    keyword whole acoth yellow
    keyword whole cart2pol yellow
    keyword whole cart2sph yellow
    keyword whole pol2cartsph2cart yellow
    keyword whole besselj yellow
    keyword whole bessely yellow
    keyword whole besseli yellow
    keyword whole besselk yellow
    keyword whole besselh yellow
    keyword whole airy yellow
    keyword whole beta yellow
    keyword whole betainc yellow
    keyword whole betaln yellow
    keyword whole ellipj yellow
    keyword whole ellipke yellow
    keyword whole erf yellow
    keyword whole erfc yellow
    keyword whole erfcx yellow
    keyword whole erfinv yellow
    keyword whole gamma yellow
    keyword whole gammainc yellow
    keyword whole gammaln yellow
    keyword whole expint yellow
    keyword whole legendre yellow

#   <!-- Matrix functions -->

    keyword whole eye yellow
    keyword whole diag yellow
    keyword whole tril yellow
    keyword whole triu yellow
    keyword whole fliplr yellow
    keyword whole flipud yellow
    keyword whole rot90 yellow
    keyword whole compan yellow
    keyword whole cond yellow
    keyword whole normest yellow
    keyword whole norm yellow
    keyword whole det yellow
    keyword whole see yellow
    keyword whole rank yellow
    keyword whole trace yellow
    keyword whole null yellow
    keyword whole orth yellow
    keyword whole inv yellow
    keyword whole lu yellow
    keyword whole qr yellow
    keyword whole svd yellow
    keyword whole gsvd yellow
    keyword whole roots yellow
    keyword whole poly yellow

#   <!-- Data analize functions -->

    keyword whole sum yellow
    keyword whole cumsum yellow
    keyword whole prod yellow
    keyword whole cumprod yellow
    keyword whole sort yellow
    keyword whole sortrows yellow
    keyword whole max yellow
    keyword whole min yellow
    keyword whole median yellow
    keyword whole mean yellow
    keyword whole std yellow
    keyword whole cov yellow
    keyword whole corrcoef yellow
    keyword whole diff yellow
    keyword whole gradient yellow
    keyword whole polyfit yellow
    keyword whole interpft yellow
    keyword whole spline yellow
    keyword whole ppval yellow
    keyword whole fft yellow
    keyword whole ifft yellow
    keyword whole fft2 yellow
    keyword whole ifft2 yellow
    keyword whole fftn yellow
    keyword whole ifftn yellow
    keyword whole filter yellow
    keyword whole filter2 yellow

#   <!-- Plotting functions -->

    keyword whole root yellow
    keyword whole figure yellow
    keyword whole axes yellow
    keyword whole line yellow
    keyword whole patch yellow
    keyword whole surface yellow
    keyword whole text yellow
    keyword whole plot yellow
    keyword whole fplot yellow
    keyword whole ezplot yellow
    keyword whole loglog yellow
    keyword whole semilogx yellow
    keyword whole semilogy yellow
    keyword whole polar yellow
    keyword whole piotyy yellow
    keyword whole plot3 yellow
    keyword whole meshgrid yellow
    keyword whole mesh yellow
    keyword whole meshc yellow
    keyword whole meshz yellow
    keyword whole axis yellow
    keyword whole hold yellow
    keyword whole grid yellow
    keyword whole bar yellow
    keyword whole barh yellow
    keyword whole pie yellow
    keyword whole subplot yellow
    keyword whole zoom yellow
    keyword whole colormap yellow
    keyword whole label yellow
    keyword whole legend yellow
    keyword whole errorbar yellow
    keyword whole hist yellow
    keyword whole stem yellow
    keyword whole stairs yellow
    keyword whole scatter yellow
    keyword whole roze yellow

context %{ %} brown
    spellcheck

context # \n brown
    spellcheck

context % \n brown
    spellcheck

context " " green
PK[!3��44
strace.syntaxnu�[���context default
    keyword access(*) green
    keyword execve(*) brightgreen
    keyword uname(*) brightblue

    keyword open(*) cyan
    keyword close(*) cyan

    keyword read(*) magenta
    keyword select(*) magenta
    keyword write(*) magenta

    keyword readv(*) brightmagenta
    keyword writev(*) brightmagenta

    keyword fcntl64(*) white
    keyword fstat64(*) white
    keyword stat64(*) white

    keyword old_mmap(*) red
    keyword mmap2(*) red
    keyword munmap(*) red

    keyword exit_group(*) brightred
    keyword kill(*) brightred
    keyword wait4(*) brightred
    keyword statfs(*) brightred
    keyword ioctl(*) brightred
    keyword rt_sigaction(*) brightred
    keyword rt_sigprocmask(*) brightred
    keyword alarm(*) brightred
    keyword brk(*) brightred

    keyword chown32(*) yellow
    keyword chmod(*) yellow
    keyword geteuid32(*) yellow
    keyword getegid32(*) yellow
    keyword getuid32(*) yellow
    keyword getgid32(*) yellow
    keyword setresuid32(*) yellow
    keyword setresgid32(*) yellow
    keyword gettimeofday(*) yellow
PK[\��C{#{#texinfo.syntaxnu�[���context default
    spellcheck
    keyword linestart \\input brightcyan
    keyword { yellow
    keyword } yellow
    keyword @@ yellow

# @-commands
    keyword whole @WHITESPACE yellow
    keyword whole @! yellow
    keyword whole @" yellow
    keyword whole @' yellow
    keyword whole @* yellow
    keyword whole @, yellow
    keyword whole @- yellow
    keyword whole @. yellow
    keyword whole @: yellow
    keyword whole @= yellow
    keyword whole @? yellow
    keyword whole @^ yellow
    keyword whole @` yellow
    keyword whole @{ yellow
    keyword whole @} yellow
    keyword whole @= yellow
    keyword whole @aa{} yellow
    keyword whole @AA{} yellow
    keyword whole @ae{} yellow
    keyword whole @AE{} yellow
    keyword whole @afourpaper yellow
    keyword whole @appendix yellow
    keyword whole @appendixsec yellow
    keyword whole @appendixsection yellow
    keyword whole @appendixsubsec yellow
    keyword whole @appendixsubsubsec yellow
    keyword whole @asis yellow
    keyword whole @author yellow
    keyword whole @b yellow
    keyword whole @bullet{} yellow
    keyword whole @bye yellow
    keyword whole @c yellow
    keyword whole @cartouche yellow
    keyword whole @center yellow
    keyword whole @centerchap yellow
    keyword whole @chapheading yellow
    keyword whole @chapter yellow
    keyword whole @cindex yellow
    keyword whole @cite yellow
    keyword whole @clear yellow
    keyword whole @code yellow
    keyword whole @comment yellow
    keyword whole @contents yellow
    keyword whole @copyright{} yellow
    keyword whole @defcodeindex yellow
    keyword whole @defcv yellow
    keyword whole @defcvx yellow
    keyword whole @deffn yellow
    keyword whole @deffnx yellow
    keyword whole @defindex yellow
    keyword whole @definfoenclose yellow
    keyword whole @defivar yellow
    keyword whole @defivarx yellow
    keyword whole @defmac yellow
    keyword whole @defmacx yellow
    keyword whole @defmethod yellow
    keyword whole @defmethodx yellow
    keyword whole @defop yellow
    keyword whole @defopx yellow
    keyword whole @defopt yellow
    keyword whole @defoptx yellow
    keyword whole @defspec yellow
    keyword whole @defspecx yellow
    keyword whole @deftp yellow
    keyword whole @deftpx yellow
    keyword whole @deftypefn yellow
    keyword whole @deftypefnx yellow
    keyword whole @deftypefun yellow
    keyword whole @deftypefunx yellow
    keyword whole @deftypemethod yellow
    keyword whole @deftypemethodx yellow
    keyword whole @deftypevr yellow
    keyword whole @deftypevrx yellow
    keyword whole @deftypevar yellow
    keyword whole @deftypevarx yellow
    keyword whole @defun yellow
    keyword whole @defunx yellow
    keyword whole @defvar yellow
    keyword whole @defvarx yellow
    keyword whole @detailmenu{} yellow
    keyword whole @dfn yellow
    keyword whole @dircategory yellow
    keyword whole @direntry yellow
    keyword whole @display yellow
    keyword whole @dmn yellow
    keyword whole @dotaccent yellow
    keyword whole @dots{} yellow
    keyword whole @email yellow
    keyword whole @emph yellow
    keyword whole @end\scartouche yellow
    keyword whole @end\sdirentry yellow
    keyword whole @end\senumerate yellow
    keyword whole @end\sexample yellow
    keyword whole @end\sflushleft yellow
    keyword whole @end\sflushright yellow
    keyword whole @end\sformat yellow
    keyword whole @end\sftable yellow
    keyword whole @end\sgroup yellow
    keyword whole @end\shtml yellow
    keyword whole @end\sifclear yellow
    keyword whole @end\sifhtml yellow
    keyword whole @end\sifinfo yellow
    keyword whole @end\sifnothtml yellow
    keyword whole @end\sifnotinfo yellow
    keyword whole @end\sifnottex yellow
    keyword whole @end\sifset yellow
    keyword whole @end\siftex yellow
    keyword whole @end\signore yellow
    keyword whole @end\sitemize yellow
    keyword whole @end\slisp yellow
    keyword whole @end\smenu yellow
    keyword whole @end\smultitable yellow
    keyword whole @end\squotation yellow
    keyword whole @end\ssmallexample yellow
    keyword whole @end\ssmalllisp yellow
    keyword whole @end\stable yellow
    keyword whole @end\stex yellow
    keyword whole @end\stitlepage yellow
    keyword whole @end\svtable yellow
    keyword whole @enddots{} yellow
    keyword whole @enumerate yellow
    keyword whole @equiv{} yellow
    keyword whole @error{} yellow
    keyword whole @evenfooting yellow
    keyword whole @evenheading yellow
    keyword whole @everyfooting yellow
    keyword whole @everyheading yellow
    keyword whole @example yellow
    keyword whole @exclamdown{} yellow
    keyword whole @exdent yellow
    keyword whole @expansion{} yellow
    keyword whole @file yellow
    keyword whole @finalout yellow
    keyword whole @findex yellow
    keyword whole @flushleft yellow
    keyword whole @flushright yellow
    keyword whole @footnote yellow
    keyword whole @footnotestyle yellow
    keyword whole @format yellow
    keyword whole @ftable yellow
    keyword whole @group yellow
    keyword whole @H yellow
    keyword whole @heading yellow
    keyword whole @headings yellow
    keyword whole @html yellow
    keyword whole @hyphenation yellow
    keyword whole @i yellow
    keyword whole @ifclear yellow
    keyword whole @ifhtml yellow
    keyword whole @ifinfo yellow
    keyword whole @ifnothtml yellow
    keyword whole @ifnotinfo yellow
    keyword whole @ifnottex yellow
    keyword whole @ifset yellow
    keyword whole @iftex yellow
    keyword whole @ignore yellow
    keyword whole @image yellow
    keyword whole @include yellow
    keyword whole @inforef yellow
    keyword whole @item yellow
    keyword whole @itemize yellow
    keyword whole @itemx yellow
    keyword whole @kbd yellow
    keyword whole @kbdinputstyle yellow
    keyword whole @key yellow
    keyword whole @kindex yellow
    keyword whole @l{} yellow
    keyword whole @L{} yellow
    keyword whole @lisp yellow
    keyword whole @lowersections yellow
    keyword whole @macro yellow
    keyword whole @majorheading yellow
    keyword whole @math yellow
    keyword whole @menu yellow
    keyword whole @minus{} yellow
    keyword whole @multitable yellow
    keyword whole @need yellow
    keyword whole @node yellow
    keyword whole @noindent yellow
    keyword whole @o{} yellow
    keyword whole @O{} yellow
    keyword whole @oddfooting yellow
    keyword whole @oddheading yellow
    keyword whole @oe{} yellow
    keyword whole @OE{} yellow
    keyword whole @page yellow
    keyword whole @paragraphindent yellow
    keyword whole @pindex yellow
    keyword whole @point{} yellow
    keyword whole @pounds{} yellow
    keyword whole @print{} yellow
    keyword whole @printindex yellow
    keyword whole @pxref yellow
    keyword whole @questiondown{} yellow
    keyword whole @quotation yellow
    keyword whole @r yellow
    keyword whole @raisesections yellow
    keyword whole @ref yellow
    keyword whole @refill yellow
    keyword whole @result{} yellow
    keyword whole @ringaccent yellow
    keyword whole @samp yellow
    keyword whole @sc yellow
    keyword whole @section yellow
    keyword whole @set yellow
    keyword whole @setchapternewpage yellow
    keyword whole @setfilename yellow
    keyword whole @settitle yellow
    keyword whole @shortcontents yellow
    keyword whole @shorttitlepage yellow
    keyword whole @smallbook yellow
    keyword whole @smallexample yellow
    keyword whole @smalllisp yellow
    keyword whole @sp yellow
    keyword whole @ss{} yellow
    keyword whole @strong yellow
    keyword whole @subheading yellow
    keyword whole @subsection yellow
    keyword whole @subsubheading yellow
    keyword whole @subsubsection yellow
    keyword whole @subtitle yellow
    keyword whole @summarycontents yellow
    keyword whole @syncodeindex yellow
    keyword whole @synindex yellow
    keyword whole @t yellow
    keyword whole @tab yellow
    keyword whole @table yellow
    keyword whole @TeX{} yellow
    keyword whole @tex yellow
    keyword whole @thischapter yellow
    keyword whole @thischaptername yellow
    keyword whole @thisfile yellow
    keyword whole @thispage yellow
    keyword whole @thistitle yellow
    keyword whole @tieaccent yellow
    keyword whole @tindex yellow
    keyword whole @title yellow
    keyword whole @titlefont yellow
    keyword whole @titlepage yellow
    keyword whole @today{} yellow
    keyword whole @top yellow
    keyword whole @u yellow
    keyword whole @ubaraccent yellow
    keyword whole @udotaccent yellow
    keyword whole @unnumbered yellow
    keyword whole @unnumberedsec yellow
    keyword whole @unnumberedsubsec yellow
    keyword whole @unnumberedsubsubsec yellow
    keyword whole @uref yellow
    keyword whole @url yellow
    keyword whole @v yellow
    keyword whole @value yellow
    keyword whole @var yellow
    keyword whole @vindex yellow
    keyword whole @vskip yellow
    keyword whole @vtable yellow
    keyword whole @w yellow
    keyword whole @xref yellow
PK[�AF���
ini.syntaxnu�[���# DOS / Windows INI files

context default cyan
    keyword = brightred

# groups
context [ ] yellow

# comments:
context # \n brown
    spellcheck

context ; \n brown
    spellcheck

context exclusive = \n brightcyan


PK[�N�۟!�!fortran.syntaxnu�[���# ---------------------------------------------------
# fortran.syntax
# FORTRAN language syntax highlighting template.
# Provides syntax highlighting rules for the
# FORTRAN programming language.
#
# Author: John Theal
# Email: jtheal@renegadelinuxbox.dhs.org
# December 7, 1999
# ---------------------------------------------------

# Heavily modified by Paul Sheer <psheer@obsidian.co.za>.

caseinsensitive

context default
# keywords at the beginning of line
    keyword linestart ! black yellow
    keyword linestart \* black yellow
    keyword linestart c black yellow
    keyword linestart C black yellow

    keyword linestart \s\s\s\s\s\* yellow red
    keyword linestart \s\s\s\s\s\+ yellow red

    keyword linestart \s\s\s\s\s\s black brightcyan/blue3

    keyword linestart 0\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white brightcyan
    keyword linestart 1\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white brightcyan
    keyword linestart 2\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white brightcyan
    keyword linestart 3\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white brightcyan
    keyword linestart 4\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white brightcyan
    keyword linestart 5\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white brightcyan
    keyword linestart 6\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white brightcyan
    keyword linestart 7\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white brightcyan
    keyword linestart 8\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white brightcyan
    keyword linestart 9\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white brightcyan

    keyword linestart \s\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white brightcyan
    keyword linestart               \s\s\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white brightcyan
    keyword linestart                             \s\s\s\{0123456789\s\}\{0123456789\s\}\s white brightcyan
    keyword linestart                                           \s\s\s\s\{0123456789\s\}\s white brightcyan

# boolean and logical expressions / operators
    keyword .and. brightred/orange
    keyword .eq. brightred/orange
    keyword .eqv. brightred/orange
    keyword .false. brightred/orange
    keyword .ge. brightred/orange
    keyword .gt. brightred/orange
    keyword .le. brightred/orange
    keyword .lt. brightred/orange
    keyword .ne. brightred/orange
    keyword .neqv. brightred/orange
    keyword .not. brightred/orange
    keyword .or. brightred/orange
    keyword .true. brightred/orange
    keyword whole lge brightred/orange
    keyword whole lgt brightred/orange
    keyword whole lle brightred/orange
    keyword whole llt brightred/orange

# declarations
    keyword whole block brightcyan
    keyword whole character brightcyan
    keyword whole common brightcyan
    keyword whole complex brightcyan
    keyword whole data brightcyan
    keyword whole dimension brightcyan
    keyword whole double brightcyan
    keyword whole external brightcyan
    keyword whole format brightcyan
    keyword whole implicit brightcyan
    keyword whole integer brightcyan
    keyword whole intrinsic brightcyan
    keyword whole logical brightcyan
    keyword whole none brightcyan
    keyword whole parameter brightcyan
    keyword whole precision brightcyan
    keyword whole real brightcyan

# general programming syntax
    keyword whole assign brightgreen
    keyword whole call brightgreen
    keyword whole continue brightgreen
    keyword whole do brightgreen
    keyword whole else brightgreen
    keyword whole elseif brightgreen
    keyword whole end brightgreen
    keyword whole enddo brightgreen
    keyword whole endif brightgreen
    keyword whole for brightgreen
    keyword whole goto brightgreen
    keyword whole if brightgreen
    keyword whole pause brightgreen
    keyword whole return brightgreen
    keyword whole stop brightgreen
    keyword whole then brightgreen
    keyword whole to brightgreen
    keyword whole while brightgreen

# headers
    keyword whole entry brightgreen
    keyword whole function yellow
    keyword whole program yellow
    keyword whole subroutine yellow

# I/O functions
# may have optional equal signs after them: 'keyword ='
    keyword whole access brightmagenta
    keyword whole backspace brightmagenta
    keyword whole blank brightmagenta
    keyword whole close brightmagenta
    keyword whole direct brightmagenta
    keyword whole endfile brightmagenta
    keyword whole err brightmagenta
    keyword whole exist brightmagenta
    keyword whole file brightmagenta
    keyword whole fmt brightmagenta
    keyword whole form brightmagenta
    keyword whole formatted brightmagenta
    keyword whole inquire brightmagenta
    keyword whole iostat brightmagenta
    keyword whole name brightmagenta
    keyword whole named brightmagenta
    keyword whole nextrec brightmagenta
    keyword whole number brightmagenta
    keyword whole open brightmagenta
    keyword whole opened brightmagenta
    keyword whole print brightmagenta
    keyword whole read brightmagenta
    keyword whole rec brightmagenta
    keyword whole recl brightmagenta
    keyword whole rewind brightmagenta
    keyword whole sequential brightmagenta
    keyword whole status brightmagenta
    keyword whole unformatted brightmagenta
    keyword whole unit brightmagenta
    keyword whole write brightmagenta

# mathematical functions
    keyword whole abs yellow
    keyword whole acos yellow
    keyword whole aimag yellow
    keyword whole aint yellow
    keyword whole alog yellow
    keyword whole alog10 yellow
    keyword whole amax0 yellow
    keyword whole amax1 yellow
    keyword whole amin0 yellow
    keyword whole amin1 yellow
    keyword whole amod yellow
    keyword whole anint yellow
    keyword whole aprime yellow
    keyword whole asin yellow
    keyword whole atan yellow
    keyword whole atan2 yellow
    keyword whole acos yellow
    keyword whole cabs yellow
    keyword whole cexp yellow
    keyword whole char yellow
    keyword whole clog yellow
    keyword whole cmplx yellow
    keyword whole conjg yellow
    keyword whole cos yellow
    keyword whole cosh yellow
    keyword whole ccos yellow
    keyword whole csin yellow
    keyword whole csqrt yellow
    keyword whole dabs yellow
    keyword whole dacos yellow
    keyword whole dasin yellow
    keyword whole datan yellow
    keyword whole datan2 yellow
    keyword whole dble yellow
    keyword whole dcos yellow
    keyword whole dcosh yellow
    keyword whole dfloat yellow
    keyword whole ddmim yellow
    keyword whole dexp yellow
    keyword whole dim yellow
    keyword whole dint yellow
    keyword whole dlog yellow
    keyword whole dlog10 yellow
    keyword whole dmax1 yellow
    keyword whole dmin1 yellow
    keyword whole dmod yellow
    keyword whole dnint yellow
    keyword whole dsign yellow
    keyword whole dsin yellow
    keyword whole dsinh yellow
    keyword whole dsqrt yellow
    keyword whole dtan yellow
    keyword whole dtanh yellow
    keyword whole equivalence yellow
    keyword whole exp yellow
    keyword whole float yellow
    keyword whole iabs yellow
    keyword whole ichar yellow
    keyword whole idim yellow
    keyword whole idint yellow
    keyword whole ifix yellow
    keyword whole index yellow
    keyword whole int yellow
    keyword whole isign yellow
    keyword whole len yellow
    keyword whole log yellow
    keyword whole log10 yellow
    keyword whole max yellow
    keyword whole max0 yellow
    keyword whole max1 yellow
    keyword whole min yellow
    keyword whole min0 yellow
    keyword whole min1 yellow
    keyword whole mod yellow
    keyword whole rand yellow
    keyword whole sign yellow
    keyword whole sin yellow
    keyword whole sinh yellow
    keyword whole sngl yellow
    keyword whole sqrt yellow
    keyword whole tan yellow
    keyword whole tanh yellow

# operators and syntax elements
    keyword ( brightcyan
    keyword ) brightcyan
    keyword , brightcyan
    keyword \+ yellow
    keyword - yellow
    keyword \* yellow
    keyword / yellow
    keyword = yellow

# comments and quotes
    keyword '*' green

context exclusive linestart ! \n brown
   spellcheck
context exclusive linestart \* \n brown
   spellcheck
context exclusive linestart c \n brown
   spellcheck
context exclusive linestart C \n brown
   spellcheck
PK[� N�

vhdl.syntaxnu�[���# Adam Pribyl, based on ADA
# modified: Andrew Borodin
# missing
# literal, on,  (something else)

caseinsensitive

context default

#wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ_

    keyword whole with yellow
    keyword whole select yellow
    keyword whole use yellow
    keyword whole is yellow
    keyword whole of yellow
    keyword whole length yellow
    keyword whole range yellow
    keyword whole left yellow
    keyword whole right yellow
    keyword whole delta yellow
    keyword whole return yellow
    keyword whole next yellow
    keyword whole null yellow
    keyword whole array yellow
    keyword whole downto yellow
    keyword whole to yellow
    keyword whole foreign yellow

# comment
    keyword -- magenta

# expressions
    keyword := brightgreen
    keyword . brightgreen
    keyword ; brightgreen
    keyword : brightgreen
    keyword , brightgreen
    keyword ' brightgreen
    keyword | brightgreen
    keyword ( brightgreen
    keyword ) brightgreen
    keyword [ brightgreen
    keyword ] brightgreen
    keyword \+ brightgreen
    keyword - brightgreen
    keyword / brightgreen
    keyword \* brightgreen
    keyword \*\* brightgreen
    keyword # brightgreen
    keyword & brightgreen
    keyword => brightgreen
    keyword < brightgreen
    keyword > brightgreen
    keyword <= brightgreen
    keyword >= brightgreen
    keyword = brightgreen
    keyword /= brightgreen

# operators and functions
    keyword whole sll green
    keyword whole srl green
    keyword whole sla green
    keyword whole sra green
    keyword whole rol green
    keyword whole ror green
    keyword whole rem green
    keyword whole mod green
    keyword whole not green
    keyword whole and green
    keyword whole nand green
    keyword whole or green
    keyword whole xor green
    keyword whole nor green
    keyword whole xnor green
    keyword whole abs green
    keyword whole new green

    keyword whole begin yellow
    keyword whole end yellow
    keyword whole exit yellow
    keyword whole for yellow
    keyword whole while yellow
    keyword whole if yellow
    keyword whole then yellow
    keyword whole else yellow
    keyword whole elsif yellow
    keyword whole case yellow
    keyword whole when yellow
    keyword whole with yellow
    keyword whole select yellow
    keyword whole assert yellow
    keyword    whole wait yellow
    keyword    whole open yellow
    keyword whole loop yellow
    keyword whole until yellow
    keyword whole others yellow
    keyword whole all yellow
    keyword whole block yellow
    keyword whole guarded yellow
    keyword whole after yellow
    keyword whole transport yellow
    keyword whole inertial yellow
    keyword whole reject yellow
    keyword whole unaffected yellow
    keyword whole disconnect yellow

# types and related stuff
    keyword whole integer cyan
    keyword whole natural cyan
    keyword whole positive cyan
    keyword whole string cyan
    keyword whole character cyan
    keyword whole boolean cyan
    keyword whole real cyan
    keyword whole bit cyan
    keyword whole bit_vector cyan
    keyword whole time cyan
    keyword whole units cyan
    keyword whole std_logic cyan
    keyword whole std_logic_vector cyan
    keyword whole severity_level cyan

# boolean values
    keyword whole true brightred
    keyword whole false brightred
# time values
    keyword whole fs brightred
    keyword whole ps brightred
    keyword whole ns brightred
    keyword whole us brightred
    keyword whole ms brightred
    keyword whole sec brightred
    keyword whole min brightred
    keyword whole hr brightred

# declarations
    keyword whole type brightcyan
    keyword whole subtype brightcyan

    keyword whole variable yellow
    keyword whole shared yellow
    keyword whole signal yellow
    keyword whole constant yellow
    keyword whole group yellow
    keyword whole file yellow
    keyword whole register yellow

    keyword whole port yellow
    keyword whole map yellow
    keyword whole label yellow
    keyword whole record yellow
    keyword whole generic yellow
    keyword whole alias yellow
    keyword whole attribute yellow

# ports
    keyword whole in white
    keyword whole out white
    keyword whole inout white
    keyword whole buffer white
    keyword whole linkage white
    keyword whole bus white

# library units
    keyword whole library yellow
    keyword whole entity yellow
    keyword whole architecture yellow
    keyword whole package yellow
    keyword whole body yellow
    keyword whole procedure yellow
    keyword whole function yellow
    keyword whole pure yellow
    keyword whole impure yellow
    keyword whole configuration yellow
    keyword whole component yellow
    keyword whole generic yellow
    keyword whole process yellow
    keyword whole postponded yellow
    keyword whole generate yellow

# reports
    keyword whole report red
    keyword whole severity red
    keyword whole note red
    keyword whole warning red
    keyword whole error red
    keyword whole failure red

# comment
context exclusive -- \n        magenta

context " " green
PK[��[&&cabal.syntaxnu�[���# Author   : Mikhail S. Pobolovets
# Language : cabal
#
# haskell.syntax is taken as base
#

caseinsensitive

context default

# cabal keywords

#   reserved keywords:

#comments
    keyword -- brown

#   unused symbols
    keyword whole _\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] magenta

#   known fields
    keyword whole \[\s\]author cyan
    keyword whole \[\s\]bug-reports cyan
    keyword whole \[\s\]buildable cyan
    keyword whole \[\s\]build-depends cyan
    keyword whole \[\s\]build-tools cyan
    keyword whole \[\s\]build-type cyan
    keyword whole \[\s\]cabal-version cyan
    keyword whole \[\s\]category cyan
    keyword whole \[\s\]cc-options cyan
    keyword whole \[\s\]copyright cyan
    keyword whole \[\s\]cpp-options cyan
    keyword whole \[\s\]c-sources cyan
    keyword whole \[\s\]data-dir cyan
    keyword whole \[\s\]data-files cyan
    keyword whole \[\s\]default-language cyan
    keyword whole \[\s\]default cyan
    keyword whole \[\s\]description cyan
    keyword whole \[\s\]exposed-modules cyan
    keyword whole \[\s\]exposed cyan
    keyword whole \[\s\]extensions cyan
    keyword whole \[\s\]extra-lib-dirs cyan
    keyword whole \[\s\]extra-libraries cyan
    keyword whole \[\s\]extra-source-files cyan
    keyword whole \[\s\]extra-tmp-files cyan
    keyword whole \[\s\]frameworks cyan
    keyword whole \[\s\]ghc-options cyan
    keyword whole \[\s\]ghc-prof-options cyan
    keyword whole \[\s\]ghc-shared-options cyan
    keyword whole \[\s\]homepage cyan
    keyword whole \[\s\]hs-source-dirs cyan
    keyword whole \[\s\]hugs-options cyan
    keyword whole \[\s\]include-dirs cyan
    keyword whole \[\s\]includes cyan
    keyword whole \[\s\]install-includes cyan
    keyword whole \[\s\]jhc-options cyan
    keyword whole \[\s\]ld-options cyan
    keyword whole \[\s\]license-file cyan
    keyword whole \[\s\]license cyan
    keyword whole \[\s\]location cyan
    keyword whole \[\s\]main-is cyan
    keyword whole \[\s\]maintainer cyan
    keyword whole \[\s\]name cyan
    keyword whole \[\s\]nhc98-options cyan
    keyword whole \[\s\]other-extensions cyan
    keyword whole \[\s\]other-modules cyan
    keyword whole \[\s\]package-url cyan
    keyword whole \[\s\]pkgconfig-depends cyan
    keyword whole \[\s\]source-repository cyan
    keyword whole \[\s\]stability cyan
    keyword whole \[\s\]synopsis cyan
    keyword whole \[\s\]tag cyan
    keyword whole \[\s\]tested-with cyan
    keyword whole \[\s\]type cyan
    keyword whole \[\s\]version cyan

#   extended fields
    keyword whole x\-\[\-0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] cyan

#   version wildcard
    keyword whole -any red

#   commandline keys
    keyword whole -\[\-0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz_0123456789\] brightred

#   known extensions
    keyword whole Arrows brightmagenta
    keyword whole BangPatterns brightmagenta
    keyword whole ConstrainedClassMethods brightmagenta
    keyword whole DeriveDataTypeable brightmagenta
    keyword whole DisambiguateRecordFields brightmagenta
    keyword whole EmptyDataDecls brightmagenta
    keyword whole CPP brightmagenta
    keyword whole ExistentialQuantification brightmagenta
    keyword whole ExtendedDefaultRules brightmagenta
    keyword whole ExtensibleRecords brightmagenta
    keyword whole FlexibleContexts brightmagenta
    keyword whole FlexibleInstances brightmagenta
    keyword whole ForeignFunctionInterface brightmagenta
    keyword whole FunctionalDependencies brightmagenta
    keyword whole GADTs brightmagenta
    keyword whole GeneralizedNewtypeDeriving brightmagenta
    keyword whole Generics brightmagenta
    keyword whole HereDocuments brightmagenta
    keyword whole ImplicitParams brightmagenta
    keyword whole ImpredicativeTypes brightmagenta
    keyword whole IncoherentInstances brightmagenta
    keyword whole KindSignatures brightmagenta
    keyword whole LiberalTypeSynonyms brightmagenta
    keyword whole MagicHash brightmagenta
    keyword whole MultiParamTypeClasses brightmagenta
    keyword whole NamedFieldPuns brightmagenta
    keyword whole NewQualifiedOperators brightmagenta
    keyword whole NoImplicitPrelude brightmagenta
    keyword whole NoMonomorphismRestriction brightmagenta
    keyword whole NoMonoPatBinds brightmagenta
    keyword whole OverlappingInstances brightmagenta
    keyword whole OverloadedStrings brightmagenta
    keyword whole PackageImports brightmagenta
    keyword whole ParallelListComp brightmagenta
    keyword whole PatternGuards brightmagenta
    keyword whole PatternSignatures brightmagenta
    keyword whole PolymorphicComponents brightmagenta
    keyword whole PostfixOperators brightmagenta
    keyword whole QuasiQuotes brightmagenta
    keyword whole Rank2Types brightmagenta
    keyword whole RankNTypes brightmagenta
    keyword whole RecordPuns brightmagenta
    keyword whole RecordWildCards brightmagenta
    keyword whole RecursiveDo brightmagenta
    keyword whole RelaxedPolyRec brightmagenta
    keyword whole RestrictedTypeSynonyms brightmagenta
    keyword whole ScopedTypeVariables brightmagenta
    keyword whole StandaloneDeriving brightmagenta
    keyword whole TemplateHaskell brightmagenta
    keyword whole TransformListComp brightmagenta
    keyword whole TypeFamilies brightmagenta
    keyword whole TypeOperators brightmagenta
    keyword whole TypeSynonymInstances brightmagenta
    keyword whole UnboxedTuples brightmagenta
    keyword whole UndecidableInstances brightmagenta
    keyword whole UnicodeSyntax brightmagenta
    keyword whole UnliftedFFITypes brightmagenta
    keyword whole ViewPatterns brightmagenta

#   links
    keyword http://+ gray
    keyword ftp://+ gray
    keyword git://+ gray
    keyword cvs://+ gray
    keyword svn://+ gray
    keyword darcs://+ gray
#   special text inside of <>, i.e. emails
    keyword \<+\> red

#   build types with '\s & \n' hack to remove too stupid highlighting
    keyword whole Configure\s white
    keyword whole Configure\n white
    keyword whole Custom\s white
    keyword whole Custom\n white
    keyword whole Make\s white
    keyword whole Make\n white
    keyword whole Simple\n white
    keyword whole Simple\s white

#   licenses
    keyword whole AllRightsReserved white
    keyword whole OtherLicense white
    keyword whole PublicDomain white
    keyword whole LGPL white
    keyword whole GPL white
    keyword whole LGPL2 white
    keyword whole GPL2 white
    keyword whole LGPL3 white
    keyword whole GPL3 white
    keyword whole BSD white
    keyword whole BSD3 white
    keyword whole BSD4 white
    keyword whole MIT white

#   compilers
    keyword whole GHC white
    keyword whole NHC white
    keyword whole JHC white
    keyword whole HBC white
    keyword whole Helium white
    keyword whole Hugs white

#   special keywords
    keyword whole True white
    keyword whole False white
    keyword whole if white
    keyword whole else white
    keyword whole os white
    keyword whole impl white
    keyword whole arch white

    keyword whole linestart \[\s\]executable yellow
    keyword whole linestart \[\s\]library yellow
    keyword whole linestart \[\s\]test-suite yellow
    keyword whole flag yellow
    keyword whole repo yellow


#   unboxed (started from upcase)
#    keyword whole \{ABCDEFGHIJKLMNOPQRSTUVWXYZ\}\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\]# white
#   types/modules
#    keyword whole \{ABCDEFGHIJKLMNOPQRSTUVWXYZ\}\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] white

#digits
    keyword whole 0\{xX\}\{0123456789abcdefABCDEF\}\[0123456789abcdefABCDEF\] brightgreen
    keyword whole \{0123456789\}\[0123456789\] brightgreen
    keyword whole \[0123456789\]\.\{0123456789\}\[0123456789\] brightgreen
    keyword whole \{0123456789\}\[0123456789\]\.\[0123456789\] brightgreen
    keyword whole \{0123456789\}\[0123456789\]\{eE\}\{0123456789\}\[0123456789\] brightgreen
    keyword whole \{0123456789\}\[0123456789\]\{eE\}\{\-\+\}\{0123456789\}\[0123456789\] brightgreen
    keyword whole \{0123456789\}\[0123456789\]\.\{0123456789\}\[0123456789\]\{eE\}\{0123456789\}\[0123456789\] brightgreen
    keyword whole \{0123456789\}\[0123456789\]\.\{0123456789\}\[0123456789\]\{eE\}\{\-\+\}\{0123456789\}\[0123456789\] brightgreen


# some punctuation characters
    keyword whole $ yellow
    keyword whole ! white
    keyword whole && white
    keyword whole \* yellow
    keyword whole \+ yellow
    keyword whole / yellow
    keyword whole ^ white
    keyword whole || white
    keyword whole | white

    keyword ~ white
    keyword , brightcyan
    keyword - yellow
    keyword : brightcyan
    keyword ; yellow
    keyword = yellow
    keyword < yellow
    keyword > yellow
    keyword { white
    keyword } white
    keyword [ brightcyan
    keyword ] brightcyan
    keyword ( brightcyan
    keyword ) brightcyan

# comments
context exclusive {- -} brown
    spellcheck

context exclusive // \n brown
    spellcheck

context exclusive \-\- \n brown
    spellcheck

context " " green
    spellcheck

    keyword \\" brightgreen
    keyword %% brightgreen
    keyword %\[#0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[L\]\{eEfgGoxX\} brightgreen
    keyword %\[0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[hl\]\{diuxX\} brightgreen
    keyword %\[hl\]n brightgreen
    keyword %\[-\]\[0123456789\*\]\[.\]\[0123456789\*\]s brightgreen
    keyword %[*] brightgreen
    keyword %c brightgreen
    keyword %p brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
    keyword \\\\ brightgreen
    keyword \\' brightgreen
    keyword \\\{abtnvfr\} brightgreen

# infix binop
context exclusive ` ` white
PK[�!�)()(
jal.syntaxnu�[���#
# Syntax rules for the JAL programming language.
#

caseinsensitive

context default

    #=========================
    # Variable types
    #
    keyword whole bit blue white
    keyword whole byte blue white
    keyword whole sbyte blue white
    keyword whole word blue white
    keyword whole sword blue white
    keyword whole dword blue white
    keyword whole sdword blue white

    #=========================
    # Predefined constants
    #
    keyword whole false black green
    keyword whole true black green
    keyword whole off black green
    keyword whole on black green
    keyword whole low black green
    keyword whole high black green

    #=========================
    # Keywords
    #
    keyword whole alias yellow
    keyword whole at yellow
    keyword whole begin yellow
    keyword whole const yellow
    keyword whole count yellow
    keyword whole defined yellow
    keyword whole end yellow
    keyword whole exit yellow
    keyword whole function yellow
    keyword whole get yellow
    keyword whole in yellow
    keyword whole is yellow
    keyword whole local yellow
    keyword whole of yellow
    keyword whole out yellow
    keyword whole put yellow
    keyword whole procedure yellow
    keyword whole record yellow
    keyword whole repeat yellow
    keyword whole return yellow
    keyword whole then yellow
    keyword whole until yellow
    keyword whole var yellow

    keyword whole volatile blue white

    keyword whole asm brightred
    keyword whole assembler brightred
    keyword whole assert brightred
    keyword whole include brightred
    keyword whole task brightred

    #=========================
    # Pragma keywords
    #
    keyword whole pragma\{\t\s\}\[\t\s\]bootloader\{\t\s\}\[\t\s\]bloader brightred
    keyword whole pragma\{\t\s\}\[\t\s\]bootloader\{\t\s\}\[\t\s\]long_start brightred
    keyword whole pragma\{\t\s\}\[\t\s\]bootloader\{\t\s\}\[\t\s\]loader18 brightred
    keyword whole pragma\{\t\s\}\[\t\s\]bootloader\{\t\s\}\[\t\s\]rickpic brightred
    keyword whole pragma\{\t\s\}\[\t\s\]clear\{\t\s\}\[\t\s\]no brightred
    keyword whole pragma\{\t\s\}\[\t\s\]clear\{\t\s\}\[\t\s\]yes brightred
    keyword whole pragma\{\t\s\}\[\t\s\]code brightred
    keyword whole pragma\{\t\s\}\[\t\s\]data brightred
    keyword whole pragma\{\t\s\}\[\t\s\]debug\{\t\s\}\[\t\s\]codegen\{\t\s\}\[\t\s\]no brightred
    keyword whole pragma\{\t\s\}\[\t\s\]debug\{\t\s\}\[\t\s\]codegen\{\t\s\}\[\t\s\]yes brightred
    keyword whole pragma\{\t\s\}\[\t\s\]debug\{\t\s\}\[\t\s\]pcode\{\t\s\}\[\t\s\]no brightred
    keyword whole pragma\{\t\s\}\[\t\s\]debug\{\t\s\}\[\t\s\]pcode\{\t\s\}\[\t\s\]yes brightred
    keyword whole pragma\{\t\s\}\[\t\s\]eedata brightred
    keyword whole pragma\{\t\s\}\[\t\s\]eeprom brightred
    keyword whole pragma\{\t\s\}\[\t\s\]error brightred
    keyword whole pragma\{\t\s\}\[\t\s\]frame brightred
    keyword whole pragma\{\t\s\}\[\t\s\]fuse_def brightred
    keyword whole pragma\{\t\s\}\[\t\s\]id brightred
    keyword whole pragma\{\t\s\}\[\t\s\]iddata brightred
    keyword whole pragma\{\t\s\}\[\t\s\]inline brightred
    keyword whole pragma\{\t\s\}\[\t\s\]interrupt\{\t\s\}\[\t\s\]fast brightred
    keyword whole pragma\{\t\s\}\[\t\s\]interrupt\{\t\s\}\[\t\s\]normal brightred
    keyword whole pragma\{\t\s\}\[\t\s\]interrupt\{\t\s\}\[\t\s\]raw brightred
    keyword whole pragma\{\t\s\}\[\t\s\]interrupt brightred
    keyword whole pragma\{\t\s\}\[\t\s\]jump_table brightred
    keyword whole pragma\{\t\s\}\[\t\s\]keep\{\t\s\}\[\t\s\]bank brightred
    keyword whole pragma\{\t\s\}\[\t\s\]keep\{\t\s\}\[\t\s\]page brightred
    keyword whole pragma\{\t\s\}\[\t\s\]keep brightred
    keyword whole pragma\{\t\s\}\[\t\s\]name brightred
    keyword whole pragma\{\t\s\}\[\t\s\]nostack brightred
    keyword whole pragma\{\t\s\}\[\t\s\]opt\{\t\s\}\[\t\s\]expr_reduce\{\t\s\}\[\t\s\]no brightred
    keyword whole pragma\{\t\s\}\[\t\s\]opt\{\t\s\}\[\t\s\]expr_reduce\{\t\s\}\[\t\s\]yes brightred
    keyword whole pragma\{\t\s\}\[\t\s\]opt\{\t\s\}\[\t\s\]cexpr_reduce\{\t\s\}\[\t\s\]no brightred
    keyword whole pragma\{\t\s\}\[\t\s\]opt\{\t\s\}\[\t\s\]cexpr_reduce\{\t\s\}\[\t\s\]yes brightred
    keyword whole pragma\{\t\s\}\[\t\s\]opt\{\t\s\}\[\t\s\]const_detect\{\t\s\}\[\t\s\]no brightred
    keyword whole pragma\{\t\s\}\[\t\s\]opt\{\t\s\}\[\t\s\]const_detect\{\t\s\}\[\t\s\]yes brightred
    keyword whole pragma\{\t\s\}\[\t\s\]opt\{\t\s\}\[\t\s\]load_reduce\{\t\s\}\[\t\s\]no brightred
    keyword whole pragma\{\t\s\}\[\t\s\]opt\{\t\s\}\[\t\s\]load_reduce\{\t\s\}\[\t\s\]yes brightred
    keyword whole pragma\{\t\s\}\[\t\s\]opt\{\t\s\}\[\t\s\]temp_reduce\{\t\s\}\[\t\s\]no brightred
    keyword whole pragma\{\t\s\}\[\t\s\]opt\{\t\s\}\[\t\s\]temp_reduce\{\t\s\}\[\t\s\]yes brightred
    keyword whole pragma\{\t\s\}\[\t\s\]opt\{\t\s\}\[\t\s\]variable_frame\{\t\s\}\[\t\s\]no brightred
    keyword whole pragma\{\t\s\}\[\t\s\]opt\{\t\s\}\[\t\s\]variable_frame\{\t\s\}\[\t\s\]yes brightred
    keyword whole pragma\{\t\s\}\[\t\s\]opt\{\t\s\}\[\t\s\]variable_reduce\{\t\s\}\[\t\s\]no brightred
    keyword whole pragma\{\t\s\}\[\t\s\]opt\{\t\s\}\[\t\s\]variable_reduce\{\t\s\}\[\t\s\]yes brightred
    keyword whole pragma\{\t\s\}\[\t\s\]shared brightred
    keyword whole pragma\{\t\s\}\[\t\s\]size brightred
    keyword whole pragma\{\t\s\}\[\t\s\]speed brightred
    keyword whole pragma\{\t\s\}\[\t\s\]stack brightred
    keyword whole pragma\{\t\s\}\[\t\s\]target\{\t\s\}\[\t\s\]bank brightred
    keyword whole pragma\{\t\s\}\[\t\s\]target\{\t\s\}\[\t\s\]clock brightred
    keyword whole pragma\{\t\s\}\[\t\s\]target\{\t\s\}\[\t\s\]chip brightred
    keyword whole pragma\{\t\s\}\[\t\s\]target\{\t\s\}\[\t\s\]cpu brightred
    keyword whole pragma\{\t\s\}\[\t\s\]target\{\t\s\}\[\t\s\]fuses brightred
    keyword whole pragma\{\t\s\}\[\t\s\]target\{\t\s\}\[\t\s\]fuses_def brightred
    keyword whole pragma\{\t\s\}\[\t\s\]target\{\t\s\}\[\t\s\]page brightred
    keyword whole pragma\{\t\s\}\[\t\s\]target brightred
    keyword whole pragma\{\t\s\}\[\t\s\]task brightred
    keyword whole pragma\{\t\s\}\[\t\s\]warn\{\t\s\}\[\t\s\]all\{\t\s\}\[\t\s\]no brightred
    keyword whole pragma\{\t\s\}\[\t\s\]warn\{\t\s\}\[\t\s\]all\{\t\s\}\[\t\s\]yes brightred
    keyword whole pragma\{\t\s\}\[\t\s\]warn\{\t\s\}\[\t\s\]backend\{\t\s\}\[\t\s\]no brightred
    keyword whole pragma\{\t\s\}\[\t\s\]warn\{\t\s\}\[\t\s\]backend\{\t\s\}\[\t\s\]yes brightred
    keyword whole pragma\{\t\s\}\[\t\s\]warn\{\t\s\}\[\t\s\]conversion\{\t\s\}\[\t\s\]no brightred
    keyword whole pragma\{\t\s\}\[\t\s\]warn\{\t\s\}\[\t\s\]conversion\{\t\s\}\[\t\s\]yes brightred
    keyword whole pragma\{\t\s\}\[\t\s\]warn\{\t\s\}\[\t\s\]directives\{\t\s\}\[\t\s\]no brightred
    keyword whole pragma\{\t\s\}\[\t\s\]warn\{\t\s\}\[\t\s\]directives\{\t\s\}\[\t\s\]yes brightred
    keyword whole pragma\{\t\s\}\[\t\s\]warn\{\t\s\}\[\t\s\]misc\{\t\s\}\[\t\s\]no brightred
    keyword whole pragma\{\t\s\}\[\t\s\]warn\{\t\s\}\[\t\s\]misc\{\t\s\}\[\t\s\]yes brightred
    keyword whole pragma\{\t\s\}\[\t\s\]warn\{\t\s\}\[\t\s\]range\{\t\s\}\[\t\s\]no brightred
    keyword whole pragma\{\t\s\}\[\t\s\]warn\{\t\s\}\[\t\s\]range\{\t\s\}\[\t\s\]yes brightred
    keyword whole pragma\{\t\s\}\[\t\s\]warn\{\t\s\}\[\t\s\]stack_overflow\{\t\s\}\[\t\s\]no brightred
    keyword whole pragma\{\t\s\}\[\t\s\]warn\{\t\s\}\[\t\s\]stack_overflow\{\t\s\}\[\t\s\]yes brightred
    keyword whole pragma\{\t\s\}\[\t\s\]warn\{\t\s\}\[\t\s\]truncate\{\t\s\}\[\t\s\]no brightred
    keyword whole pragma\{\t\s\}\[\t\s\]warn\{\t\s\}\[\t\s\]truncate\{\t\s\}\[\t\s\]yes brightred

    keyword whole block brightcyan
    keyword whole case brightcyan
    keyword whole else brightcyan
    keyword whole elsif brightcyan
    keyword whole for brightcyan
    keyword whole forever brightcyan
    keyword whole if brightcyan
    keyword whole loop brightcyan
    keyword whole otherwise brightcyan
    keyword whole start brightcyan
    keyword whole suspend brightcyan
    keyword whole using brightcyan
    keyword whole while brightcyan

    keyword whole _debug yellow
    keyword whole _error yellow
    keyword whole _warn yellow

    keyword whole _code_size brightred
    keyword whole _fuses brightred
    keyword whole _fuses_base brightred
    keyword whole target_chip brightred
    keyword whole target_cpu brightred
    keyword whole target_clock brightred

    keyword whole _usec_delay yellow

wholechars 0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz_

    #=========================
    # Numbers
    #
    keyword whole 0b\{01_\}\[01_\] brightgreen
    keyword whole 0q\{0123456_\}\[0123456_\] brightgreen
    keyword whole \[-\]\{0123456789_\}\[0123456789_\] brightgreen
    keyword whole 0x\{0123456789ABCDEFabcdef_\}\[0123456789ABCDEFabcdef_\] brightgreen

    #=========================
    # Comments
    #
    keyword --*\n white magenta
    keyword ;*\n white magenta

    #=========================
    # Special symbols
    #
    keyword - yellow
    keyword \* yellow
    keyword / yellow
    keyword % yellow
    keyword \+ yellow
    keyword << yellow
    keyword >> yellow

    keyword ~ brightmagenta
    keyword !! brightmagenta
    keyword & brightmagenta
    keyword | brightmagenta
    keyword ^ brightmagenta
    keyword ' brightmagenta

    keyword : brightred
    keyword != brightred
    keyword == brightred
    keyword <= brightred
    keyword >= brightred
    keyword < brightred
    keyword > brightred

    keyword = yellow
    keyword ! brightmagenta

    #=========================
    # Separators
    #
    keyword ( brightcyan
    keyword ) brightcyan
    keyword [ brightcyan
    keyword ] brightcyan
    keyword { brightcyan
    keyword } brightcyan
    keyword , brightcyan
    keyword . brightcyan

    #=========================
    # Assembler lines
    #
context exclusive asm\{\t\s\}\[\t\s\] \n blue cyan

    #=========================
    # Strings
    #
context " " black green
    spellcheck
    keyword \\" red
    keyword \\\\ red
    keyword \\\{abfnrtv?\} red
    keyword \\0\{0123456789ABCDEFabcdef\}\{0123456789ABCDEFabcdef\}\{0123456789ABCDEFabcdef\} red
    keyword \\\x\{0123456789ABCDEFabcdef\}\{0123456789ABCDEFabcdef\} red
PK[��MQQr.syntaxnu�[���# R language syntax highlighting file for mcedit
# Based on Perl syntax + analysis of the 80 most frequent R commands
# Alexey Shipunov (dactylorhiza@gmail.com), version 20170122
#

context default

    keyword ->> brightred grey
    keyword <<- brightred grey
    keyword -> brightred grey

    keyword <- brightred

    keyword \\ gray white

    keyword : yellow
    keyword $ yellow
    keyword > yellow
    keyword < yellow
    keyword \+ yellow
    keyword - yellow
    keyword ^ yellow
    keyword \* yellow
    keyword | yellow
    keyword / yellow
    keyword != yellow
    keyword == yellow
    keyword ! yellow
    keyword ~ yellow
    keyword % yellow
    keyword & yellow

    keyword = red

    keyword { white
    keyword } white

    keyword ( brightcyan
    keyword ) brightcyan

    keyword [ brightblue
    keyword ] brightblue

    keyword ; black

    keyword whole else brightmagenta
    keyword whole for brightmagenta
    keyword whole if brightmagenta
    keyword whole while brightmagenta

    keyword whole abbreviate yellow
    keyword whole abline yellow
    keyword whole abs yellow
    keyword whole aggregate yellow
    keyword whole apply yellow
    keyword whole as.character yellow
    keyword whole as.data.frame yellow
    keyword whole as.factor yellow
    keyword whole as.matrix yellow
    keyword whole as.numeric yellow
    keyword whole as.vector yellow
    keyword whole attr yellow
    keyword whole boxplot yellow
    keyword whole c yellow
    keyword whole cat yellow
    keyword whole cbind yellow
    keyword whole chisq.test yellow
    keyword whole colnames yellow
    keyword whole colSums yellow
    keyword whole cor yellow
    keyword whole cor.test yellow
    keyword whole data.frame yellow
    keyword whole dev.off yellow
    keyword whole dimnames yellow
    keyword whole dist yellow
    keyword whole dotchart yellow
    keyword whole eqscplot yellow
    keyword whole factor yellow
    keyword whole function yellow
    keyword whole get yellow
    keyword whole grep yellow
    keyword whole gsub yellow
    keyword whole hclust yellow
    keyword whole hist yellow
    keyword whole ifelse yellow
    keyword whole is.factor yellow
    keyword whole is.na yellow
    keyword whole kruskal.test yellow
    keyword whole lda yellow
    keyword whole legend yellow
    keyword whole length yellow
    keyword whole levels yellow
    keyword whole library yellow
    keyword whole lines yellow
    keyword whole list yellow
    keyword whole lm yellow
    keyword whole loadings yellow
    keyword whole log yellow
    keyword whole manova yellow
    keyword whole matrix yellow
    keyword whole max yellow
    keyword whole mean yellow
    keyword whole median yellow
    keyword whole min yellow
    keyword whole mtext yellow
    keyword whole names yellow
    keyword whole na.omit yellow
    keyword whole ncol yellow
    keyword whole nrow yellow
    keyword whole order yellow
    keyword whole palette yellow
    keyword whole par yellow
    keyword whole paste yellow
    keyword whole pdf yellow
    keyword whole plot yellow
    keyword whole png yellow
    keyword whole points yellow
    keyword whole predict yellow
    keyword whole princomp yellow
    keyword whole print yellow
    keyword whole range yellow
    keyword whole rbind yellow
    keyword whole read.table yellow
    keyword whole recode yellow
    keyword whole rep yellow
    keyword whole replace yellow
    keyword whole return yellow
    keyword whole rev yellow
    keyword whole rnorm yellow
    keyword whole round yellow
    keyword whole row.names yellow
    keyword whole rowSums yellow
    keyword whole sapply yellow
    keyword whole scale yellow
    keyword whole seq yellow
    keyword whole sink yellow
    keyword whole smooth.spline yellow
    keyword whole sort yellow
    keyword whole source yellow
    keyword whole sqrt yellow
    keyword whole str yellow
    keyword whole sum yellow
    keyword whole summary yellow
    keyword whole t yellow
    keyword whole table yellow
    keyword whole tapply yellow
    keyword whole text yellow
    keyword whole t.test yellow
    keyword whole unique yellow
    keyword whole wilcox.test yellow
    keyword whole with yellow
    keyword whole write.table yellow
    keyword whole x11 yellow

context # \n brown
    spellcheck

context "http " white

context " " brightgreen

context 'http ' white

context ' ' brightgreen
PK[W�J�--aspx.syntaxnu�[���# ASP.NET syntax highlighting
#
# Author: Juan C. Olivares <juancri@juancri.com>
# http://www.juancri.com

context default
    keyword "*" lightgray
    keyword '*' lightgray

    spellcheck

context <!-- --> brightgreen
    spellcheck

context <! > yellow
    keyword '+' brightcyan
    keyword "+" brightcyan
    keyword \( lightgray
    keyword \) lightgray
    keyword \* lightgray
    keyword \? lightgray
    keyword \+ lightgray
    keyword \| lightgray
    keyword \, lightgray
    spellcheck

context <% %> brightgreen
    keyword Application white
    keyword Assembly white
    keyword Control white
    keyword Implements white
    keyword Import white
    keyword Inherits white
    keyword OutputCache white
    keyword Page white
    keyword Reference white
    keyword Register white
    keyword WebService white
    keyword \s+\= yellow
    keyword '*' brightcyan
    keyword "*" brightcyan
    spellcheck

context < > white
    keyword \s+\= yellow
    keyword "server" brightgreen
    keyword '*' brightcyan
    keyword "*" brightcyan
    spellcheck
PK[�B���lisp.syntaxnu�[���#
# Lisp syntax highlighting
#
# Author: Mykolas Juraitis (juramyko@soften.ktu.lt)
# Version: 6 Aug, 2002
#

wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ_-*0123456789

context default
    keyword whole apply yellow
    keyword whole and yellow
    keyword whole car yellow
    keyword whole caar yellow
    keyword whole cadr yellow
    keyword whole cdar yellow
    keyword whole cddr yellow
    keyword whole cdr yellow
    keyword whole close yellow
    keyword whole cond yellow
    keyword whole cons yellow
    keyword whole count yellow
    keyword whole defvar yellow
    keyword whole defstruct yellow
    keyword whole defun yellow
    keyword whole do yellow
    keyword whole eq yellow
    keyword whole eql yellow
    keyword whole equal yellow
    keyword whole eval yellow
    keyword whole format yellow
    keyword whole if yellow
    keyword whole let* yellow
    keyword whole let yellow
    keyword whole list yellow
    keyword whole load yellow
    keyword whole make-package yellow
    keyword whole mapcar yellow
    keyword whole not yellow
    keyword whole null yellow
    keyword whole numberp yellow
    keyword whole open yellow
    keyword whole or yellow
    keyword whole pprint yellow
    keyword whole prin1 yellow
    keyword whole princ yellow
    keyword whole print yellow
    keyword whole provide yellow
    keyword whole read yellow
    keyword whole require yellow
    keyword whole set yellow
    keyword whole setf yellow
    keyword whole setq yellow
    keyword whole slot-value yellow
    keyword whole sort yellow
    keyword whole stringp yellow
    keyword whole terpri yellow
    keyword whole write yellow

    keyword whole lambda brightred
    keyword whole nil brightred
    keyword whole t brightred

    keyword #' brightmagenta
    keyword ' brightmagenta
    keyword , brightmagenta

    keyword ( brightcyan
    keyword ) brightcyan

# Keyword declarations and keywords

    keyword :\[ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz_-*0123456789\] white
    keyword &\[ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz_-*0123456789\] white

# Comments

context ; \n brown

# Strings

context " " green
    keyword \\" green
    keyword \\\\ green
PK[Ƚ++H+Hd.syntaxnu�[���# -------------------------------
#
# D programming language syntax highlighting
# for CoolEdit
#
# Homepage of D: http://www.digitalmars.com/d/
#
# Author: Witold Baryluk < baryluk at smp if uj edu pl >
# Date: 23-08-2007
#
# -------------------------------

context default

# sh bang
    keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/bin/dmd brightcyan black
    keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/bin/dmd brightcyan black
    keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/bin/dmd brightcyan black
    keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/bin/dmd brightcyan black
    keyword whole linestart #!\[\s\]/bin/dmd brightcyan black
    keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/dmd brightcyan black
    keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/dmd brightcyan black
    keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/dmd brightcyan black
    keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/dmd brightcyan black
    keyword whole linestart #!\[\s\]/dmd brightcyan black
    keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/gdmd brightcyan black
    keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/gdmd brightcyan black
    keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/gdmd brightcyan black
    keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/dmd brightcyan black
    keyword whole linestart #!\[\s\]/gdmd brightcyan black

# keywords
    keyword whole abstract yellow
    keyword whole alias yellow
    keyword whole align yellow
    keyword whole assert yellow
    keyword whole body yellow
    keyword whole bool yellow
    keyword whole byte yellow
    keyword whole cast yellow
    keyword whole catch yellow
    keyword whole cdouble yellow
    keyword whole cent yellow
    keyword whole cfloat yellow
    keyword whole class yellow
    keyword whole creal yellow
    keyword whole dchar yellow
    keyword whole debug yellow
    keyword whole delegate yellow
    keyword whole delete yellow
    keyword whole deprecated yellow
    keyword whole dstring yellow
    keyword whole false brightred
    keyword whole finally yellow
    keyword whole final yellow
    keyword whole foreach_reverse yellow
    keyword whole function yellow
    keyword whole idouble yellow
    keyword whole ifloat yellow
    keyword whole inout yellow
    keyword whole interface yellow
    keyword whole invariant yellow
    keyword whole in yellow
    keyword whole is yellow
    keyword whole ireal yellow
    keyword whole lazy yellow
    keyword whole long yellow
    keyword whole macro yellow
    keyword whole mixin yellow
    keyword whole module yellow
    keyword whole new yellow
    keyword whole null brightred
    keyword whole out yellow
    keyword whole override yellow
    keyword whole package yellow
    keyword whole pragma yellow
    keyword whole private yellow
    keyword whole protected yellow
    keyword whole public yellow
    keyword whole real yellow
    keyword whole ref yellow
    keyword whole scope yellow
    keyword whole string yellow
    keyword whole super brightred
    keyword whole synchronized yellow
    keyword whole template yellow
    keyword whole this brightred
    keyword whole throw yellow
    keyword whole true brightred
    keyword whole try yellow
    keyword whole typedef yellow
    keyword whole typeid yellow
    keyword whole typeof yellow
    keyword whole ubyte yellow
    keyword whole ucent yellow
    keyword whole ulong yellow
    keyword whole unittest yellow
    keyword whole ushort yellow
    keyword whole version yellow
    keyword whole volatile yellow
    keyword whole wchar yellow
    keyword whole with yellow
    keyword whole wstring yellow
    keyword whole asm yellow
    keyword whole auto yellow
    keyword whole break yellow
    keyword whole case yellow
    keyword whole char yellow
    keyword whole const yellow
    keyword whole continue yellow
    keyword whole default yellow
    keyword whole double yellow
    keyword whole do yellow
    keyword whole else yellow
    keyword whole enum yellow
    keyword whole export yellow
    keyword whole extern yellow
    keyword whole float yellow
    keyword whole foreach yellow
    keyword whole for yellow
    keyword whole goto yellow
    keyword whole if yellow
    keyword whole import magenta
    keyword whole inline yellow
    keyword whole int yellow
    keyword whole return yellow
    keyword whole short yellow
    keyword whole signed yellow
    keyword whole sizeof yellow
    keyword whole static yellow
    keyword whole struct yellow
    keyword whole switch yellow
    keyword whole typedef yellow
    keyword whole uint yellow
    keyword whole union yellow
    keyword whole unsigned yellow
    keyword whole void yellow
    keyword whole while yellow

# predefined aliases (deprecated)
    keyword whole bit yellow
# predefined aliases (2.0)
    keyword whole string yellow
    keyword whole dstring yellow
    keyword whole wstring yellow

# D array attributes
    keyword wholeright .sizeof yellow
    keyword wholeright .length yellow
    keyword wholeright .ptr yellow
    keyword wholeright .dup yellow
    keyword wholeright .reverse yellow
    keyword wholeright .sort yellow
    keyword wholeright .keys yellow
    keyword wholeright .values yellow
    keyword wholeright .rehash yellow
# D types attributes
    keyword wholeright .init yellow
    keyword wholeright .alignof yellow
    keyword wholeright .mangleof yellow
    keyword wholeright .stringof yellow
# +integer
    keyword wholeright .min yellow
    keyword wholeright .max yellow
# +floating point
    keyword wholeright .infinity yellow
    keyword wholeright .nan yellow
    keyword wholeright .dig yellow
    keyword wholeright .epsilon yellow
    keyword wholeright .mant_dig yellow
    keyword wholeright .max_10_exp yellow
    keyword wholeright .max_exp yellow
    keyword wholeright .min_10_exp yellow
    keyword wholeright .min_exp yellow
    keyword wholeright .re yellow
    keyword wholeright .im yellow
    keyword wholeright .im yellow
# union
    keyword wholeright .offsetof yellow

# D 2.0 attributes
    keyword wholeright .idup yellow

# unary operators
    keyword whole opNeg gray
    keyword whole opPos gray
    keyword whole opCom gray
    keyword whole opPostInc gray
    keyword whole opPostDec gray
    keyword whole opCast gray

# binary operators
    keyword whole opAdd gray
    keyword whole opAdd_r gray
    keyword whole opSub gray
    keyword whole opSub_r gray
    keyword whole opMul gray
    keyword whole opMul_r gray
    keyword whole opDiv gray
    keyword whole opDiv_r gray
    keyword whole opMod gray
    keyword whole opMod_r gray
    keyword whole opAnd gray
    keyword whole opAnd_r gray
    keyword whole opOr gray
    keyword whole opOr_r gray
    keyword whole opXor gray
    keyword whole opXor_r gray
    keyword whole opShl gray
    keyword whole opShl_r gray
    keyword whole opShr gray
    keyword whole opShr_r gray
    keyword whole opUShr gray
    keyword whole opUShr_r gray
    keyword whole opCat gray
    keyword whole opCat_r gray
    keyword whole opEquals gray
    keyword whole opCmp gray
    keyword whole opAssign gray
    keyword whole opAddAssign gray
    keyword whole opSubAssign gray
    keyword whole opMulAssign gray
    keyword whole opDivAssign gray
    keyword whole opModAssign gray
    keyword whole opAndAssign gray
    keyword whole opOrAssign gray
    keyword whole opXorAssign gray
    keyword whole opShlAssign gray
    keyword whole opShrAssign gray
    keyword whole opUShrAssign gray
    keyword whole opCatAssign gray
    keyword whole opIn gray
    keyword whole opIn_r gray

# special operators
    keyword whole opCall gray
    keyword whole opIndex gray
    keyword whole opIndexAssign gray
    keyword whole opSlice gray
    keyword whole opSliceAssign gray
    keyword whole opAssign gray
    keyword whole opApply gray

    keyword whole ... yellow
    keyword whole .. yellow
#    keyword whole linestart \{\s\t\}\[\s\t\]#*\n brightmagenta # C preprocesor

# special objects

# hidden argument of variadic functions
    keyword whole _argptr brightred
    keyword whole _arguments brightred

# object
    keyword whole Object brightmagenta
    keyword whole Interface brightmagenta
    keyword whole ClassInfo brightmagenta
    keyword whole OffsetTypeInfo brightmagenta
    keyword whole TypeInfo brightmagenta
    keyword whole Exception brightmagenta
    keyword whole Error brightmagenta

# common functions

# object methods
    keyword whole toString cyan
    keyword whole toHash cyan
# std.stdio
    keyword whole writefln cyan
    keyword whole writef cyan
# std.gc
    keyword whole addRoot cyan
    keyword whole removeRoot cyan
    keyword whole addRange cyan
    keyword whole hasPointers cyan
    keyword whole hasNoPointers cyan
    keyword whole setTypeInfo cyan
    keyword whole malloc cyan
    keyword whole realoc cyan
    keyword whole extend cyan
    keyword whole capacity cyan
    keyword whole setV1_0 cyan
    keyword whole fullCollect cyan
    keyword whole genCollect cyan
# std.string
    keyword whole iswhite cyan
    keyword whole atoi cyan
    keyword whole atof cyan
    keyword whole toStringz cyan
    keyword whole tolower cyan
    keyword whole toupper cyan
    keyword whole repeat cyan
    keyword whole join cyan
    keyword whole split cyan
    keyword whole stripl cyan
    keyword whole stripr cyan
    keyword whole strip cyan
    keyword whole chomp cyan
    keyword whole chop cyan
    keyword whole isNumeric cyan
    keyword whole isEmail cyan
    keyword whole isURL cyan
# std.math
    keyword whole abs cyan
    keyword whole conj cyan
    keyword whole cos cyan
    keyword whole sin cyan
    keyword whole tan cyan
    keyword whole acos cyan
    keyword whole asin cyan
    keyword whole atan cyan
    keyword whole atan2 cyan
    keyword whole cosh cyan
    keyword whole sinh cyan
    keyword whole tanh cyan
    keyword whole acosh cyan
    keyword whole asinh cyan
    keyword whole atanh cyan
    keyword whole rndtol cyan
    keyword whole rndtonl cyan
    keyword whole sqrt cyan
    keyword whole exp cyan
    keyword whole exp2 cyan
    keyword whole expm1 cyan
    keyword whole frexp cyan
    keyword whole ilogb cyan
    keyword whole ldexp cyan
    keyword whole log cyan
    keyword whole log10 cyan
    keyword whole log1p cyan
    keyword whole log2 cyan
    keyword whole logb cyan
    keyword whole modf cyan
    keyword whole scalbn cyan
    keyword whole cbrt cyan
    keyword whole fabs cyan
    keyword whole hypot cyan
    keyword whole erf cyan
    keyword whole erfc cyan
    keyword whole lgamma cyan
    keyword whole tgamma cyan
    keyword whole ceil cyan
    keyword whole floor cyan
    keyword whole nearbyint cyan
    keyword whole rint cyan
    keyword whole lrint cyan
    keyword whole round cyan
    keyword whole lround cyan
    keyword whole trunc cyan
    keyword whole remainder cyan
    keyword whole remquo cyan
    keyword whole isnan cyan
    keyword whole isfinite cyan
    keyword whole isnormal cyan
    keyword whole issubnormal cyan
    keyword whole isinf cyan
    keyword whole signbit cyan
    keyword whole copysign cyan
    keyword whole nan cyan
    keyword whole nextafter cyan
    keyword whole fdim cyan
    keyword whole fmax cyan
    keyword whole fmin cyan
    keyword whole fma cyan
    keyword whole pow cyan
    keyword whole feqrel cyan
    keyword whole poly cyan

# comments
    keyword /\*\* brown
    keyword /\* brown
    keyword \*/ brown
    keyword // brown

    keyword /\+\+\+\+\+\+\+\+\+\+ brown
    keyword /\+\+\+\+\+\+\+\+\+ brown
    keyword /\+\+\+\+\+\+\+\+ brown
    keyword /\+\+\+\+\+\+\+ brown
    keyword /\+\+\+\+\+\+ brown
    keyword /\+\+\+\+\+ brown
    keyword /\+\+\+\+ brown
    keyword /\+\+\+ brown
    keyword /\+\+ brown
    keyword /\+ brown
    keyword \+\+\+\+\+\+\+\+\+\+/ brown
    keyword \+\+\+\+\+\+\+\+\+/ brown
    keyword \+\+\+\+\+\+\+\+/ brown
    keyword \+\+\+\+\+\+\+/ brown
    keyword \+\+\+\+\+\+/ brown
    keyword \+\+\+\+\+/ brown
    keyword \+\+\+\+/ brown
    keyword \+\+\+/ brown
    keyword \+\+/ brown
    keyword \+/ brown

# chars, 'x' and entities
    keyword '\\\{"abtnvfr\}' brightgreen
    keyword \\\{"abtnvfr\} brightmagenta
    keyword '\\\{0123\}\{01234567\}\{01234567\}' brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightmagenta
    keyword '\\\{01234567\}\{01234567\}' brightgreen
    keyword \\\{01234567\}\{01234567\} brightmagenta
    keyword '\\\{01234567\}' brightgreen
    keyword \\\{01234567\} brightmagenta
    keyword '\\'' brightgreen
    keyword '\\\\' brightgreen
    keyword '\\0' brightgreen
    keyword '\{\s!"#$%&()\*\+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[]^_`abcdefghijklmnopqrstuvwxyz{|}~���������������������������������������������������������������������������������������������\}' brightgreen
    keyword '\\&*;' brightgreen
    keyword \\&*; brightmagenta
    keyword '\\x\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}' brightgreen
    keyword \\x\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} brightmagenta
    keyword '\\x\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}' brightgreen
    keyword \\x\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} brightmagenta
    keyword '\\u\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}' brightgreen
    keyword \\u\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} brightmagenta
    keyword '\\U\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}' brightgreen
    keyword \\U\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} brightmagenta

# hexstring
    keyword x"\[0123456789abcdefABCDEF\t\s\]"\{cwd\} brightgreen
    keyword x"\[0123456789abcdefABCDEF\t\s\]" brightgreen

# start of wyswig string
#    keyword "\{cwd\} green  # imposible in cooledit
    keyword " green
    keyword r" green
#    keyword `\{cwd\} green  # imposible in cooledit
    keyword ` green

# operators
    keyword !<>= yellow
    keyword !<> yellow
    keyword !>= yellow
    keyword !> yellow
    keyword !<= yellow
    keyword !< yellow
    keyword != yellow
    keyword ! yellow
    keyword %= yellow
    keyword % yellow
    keyword && yellow
    keyword &= yellow
    keyword & yellow
    keyword ( brightcyan
    keyword ) brightcyan
    keyword \+\+ yellow
    keyword -- yellow
    keyword \*= yellow
    keyword \* yellow
    keyword \+= yellow
    keyword \+ yellow
    keyword , brightcyan
    keyword -= yellow
    keyword - yellow
    keyword /= yellow
    keyword / yellow
    keyword : brightcyan
    keyword ; brightmagenta
    keyword <>= yellow
    keyword <> yellow
    keyword <<= yellow
    keyword << yellow
    keyword <= yellow
    keyword < yellow
    keyword = yellow
    keyword >>>= yellow
    keyword >>> yellow
    keyword >>= yellow
    keyword >> yellow
    keyword >= yellow
    keyword > yellow
    keyword ? brightcyan
    keyword [ brightcyan
    keyword ] brightcyan
    keyword ^= yellow
    keyword ^ yellow
    keyword || yellow
    keyword |= yellow
    keyword | yellow
    keyword { brightcyan
    keyword } brightcyan
    keyword $ brightcyan
    keyword ~= yellow
    keyword ~ yellow

# predefined lexer entities
    keyword whole __FILE__ red
    keyword whole __LINE__ red
    keyword whole __DATE__ red
    keyword whole __TIME__ red
    keyword whole __TIMESTAMP__ red
# 1.017 ?
    keyword whole __VENDOR__ red
    keyword whole __VERSION__ red

    keyword #line*"*" red

context exclusive /\*\* \*/ brown
    keyword \*/ brown
    spellcheck
# ddoc
    keyword Authors: red
    keyword Author: red
    keyword BUGS: red
    keyword Bugs: red
    keyword Date: red
    keyword Deprecated: red
    keyword Examples: red
    keyword History: red
    keyword License: red
    keyword Returns: red
    keyword See_Also: red
    keyword Standards: red
    keyword Throws: red
    keyword Version: red
# sections
    keyword Copyright: red
    keyword Params: red
    keyword Macros: red
# non standard
    keyword TODO: red
    keyword FIXME: red
    keyword Note: red

context exclusive /\+\+\+\+\+\+\+\+\+ \+\+\+\+\+\+\+\+\+/ brown
context exclusive /\+\+\+\+\+\+\+\+ \+\+\+\+\+\+\+\+/ brown
context exclusive /\+\+\+\+\+\+\+ \+\+\+\+\+\+\+/ brown
context exclusive /\+\+\+\+\+\+ \+\+\+\+\+\+/ brown
context exclusive /\+\+\+\+\+ \+\+\+\+\+/ brown
context exclusive /\+\+\+\+ \+\+\+\+/ brown
context exclusive /\+\+\+ \+\+\+/ brown
context exclusive /\+\+ \+\+/ brown
context exclusive /\+ \+/ brown

context exclusive /\* \*/ brown
    spellcheck

context exclusive // \n brown
    spellcheck

context exclusive ` ` green

context exclusive r" " green

context exclusive " " green
    spellcheck
    keyword \\" brightgreen
    keyword %% brightgreen
    keyword %\[#0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[L\]\{eEfgGoxX\} brightgreen
    keyword %\[0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[hl\]\{diuxX\} brightgreen
    keyword %\[hl\]n brightgreen
    keyword %\[-\]\[0123456789\*\]\[.\]\[0123456789\*\]s brightgreen
    keyword %[*] brightgreen
    keyword %c brightgreen
    keyword %p brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
    keyword \\\\ brightgreen
    keyword \\' brightgreen
    keyword \\\{abtnvfr\} brightgreen
    keyword \\&*; brightgreen
PK[&�1H
H
	ml.syntaxnu�[���##################################################################################
# ML (CamlLight) syntax hilighting by Carlos Rodrigues <a9566@lei.di.fct.unl.pt> #
##################################################################################

context default
    keyword whole and yellow
    keyword whole as yellow
    keyword whole begin yellow
    keyword whole do yellow
    keyword whole done yellow
    keyword whole downto yellow
    keyword whole else yellow
    keyword whole end yellow
    keyword whole exception yellow
    keyword whole for yellow
    keyword whole fun yellow
    keyword whole function yellow
    keyword whole if yellow
    keyword whole in yellow
    keyword whole let yellow
    keyword whole match yellow
    keyword whole mutable yellow
    keyword whole not yellow
    keyword whole of yellow
    keyword whole or yellow
    keyword whole prefix yellow
    keyword whole rec yellow
    keyword whole then yellow
    keyword whole to yellow
    keyword whole try yellow
    keyword whole type yellow
    keyword whole value yellow
    keyword whole where yellow
    keyword whole while yellow
    keyword whole mod yellow
    keyword whole with yellow
    keyword whole true yellow
    keyword whole false yellow

    keyword (\* brown
    keyword \*) brown

    keyword `\s` brightcyan
    keyword `+` brightcyan
    keyword > cyan
    keyword <> cyan
    keyword < cyan
    keyword . cyan
    keyword -> brightgreen
    keyword <- cyan
    keyword \+ cyan
    keyword - cyan
    keyword \* cyan
    keyword / cyan
    keyword % cyan
    keyword = cyan
    keyword | cyan
    keyword # cyan
    keyword @ cyan
    keyword ^ cyan
    keyword { cyan
    keyword } cyan
    keyword ( cyan
    keyword ) cyan
    keyword [ cyan
    keyword ] cyan
    keyword , cyan
    keyword : cyan
    keyword & cyan

    keyword wholeright ;; brightred
    keyword wholeright ; brightred

    keyword ; cyan

context exclusive (\* \*) brown

    keyword \\\n yellow
    keyword "+" brown
    keyword <+> brown

context " " brightcyan
    keyword \\" brightmagenta
    keyword \\n brightmagenta
    keyword %% brightmagenta
    keyword %\[#0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[L\]\{eEfgGoxX\} brightmagenta
    keyword %\[0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[hl\]\{diuxX\} brightmagenta
    keyword %\[hl\]n brightmagenta
    keyword %\[.\]\[0123456789\]s brightmagenta
    keyword %[*] brightmagenta
    keyword %c brightmagenta
    keyword \\\{0123\}\{01234567\}\{01234567\} brightmagenta
    keyword \\\\ brightmagenta
    keyword \\' brightmagenta
    keyword \\\{abtnvfr\} brightmagenta
PK[I��55PKGBUILD.syntaxnu�[���# Sergej Pupykin <pupykin.s@gmail.com>

context default
    keyword whole linestart pkgbase brightcyan
    keyword whole linestart pkgname brightcyan
    keyword whole linestart epoch brightcyan
    keyword whole linestart pkgver brightcyan
    keyword whole linestart pkgrel brightcyan
    keyword whole linestart pkgdesc brightcyan
    keyword whole linestart arch brightcyan
    keyword whole linestart url brightcyan
    keyword whole linestart license brightcyan
    keyword whole linestart groups brightcyan
    keyword whole linestart depends brightcyan
    keyword whole linestart makedepends brightcyan
    keyword whole linestart optdepends brightcyan
    keyword whole linestart checkdepends brightcyan
    keyword whole linestart provides brightcyan
    keyword whole linestart conflicts brightcyan
    keyword whole linestart replaces brightcyan
    keyword whole linestart backup brightcyan
    keyword whole linestart options brightcyan
    keyword whole linestart install brightcyan
    keyword whole linestart source brightcyan
    keyword whole linestart noextract brightcyan
    keyword whole linestart backup brightcyan
    keyword whole linestart changelog brightcyan
    keyword whole linestart validpgpkeys brightcyan
    keyword whole linestart md5sums brightcyan
    keyword whole linestart sha1sums brightcyan
    keyword whole linestart sha256sums brightcyan
    keyword whole linestart sha384sums brightcyan
    keyword whole linestart sha512sums brightcyan

    keyword whole linestart _cvsroot brightcyan
    keyword whole linestart _cvsmod brightcyan
    keyword whole linestart _svntrunk brightcyan
    keyword whole linestart _svnmod brightcyan
    keyword whole linestart _gitroot brightcyan
    keyword whole linestart _gitname brightcyan
    keyword whole linestart _hgroot brightcyan
    keyword whole linestart _hgrepo brightcyan
    keyword whole linestart _darcstrunk brightcyan
    keyword whole linestart _darcsmod brightcyan
    keyword whole linestart _bzrtrunk brightcyan
    keyword whole linestart _bzrmod brightcyan

    keyword whole $srcdir brightcyan
    keyword whole $pkgdir brightcyan
    keyword whole $startdir brightcyan
    keyword whole ${srcdir} brightcyan
    keyword whole ${pkgdir} brightcyan
    keyword whole ${startdir} brightcyan
    keyword whole $(srcdir) brightcyan
    keyword whole $(pkgdir) brightcyan
    keyword whole $(startdir) brightcyan

    keyword ;; brightred
    keyword \\@ brightred
    keyword \\$ brightred
    keyword \\\\ brightred
    keyword \\" brightred
    keyword \\' brightred
    keyword \\` brightred
    keyword ` brightred
    keyword ; brightcyan
    keyword $(*) brightgreen
    keyword ${*} brightgreen
    keyword { brightcyan
    keyword } brightcyan

    keyword whole linestart #!\[\s\]*\n brightcyan black

    keyword $\* brightred
    keyword $@ brightred
    keyword $# brightred
    keyword $? brightred
    keyword $- brightred
    keyword $$ brightred
    keyword $! brightred
    keyword $_ brightred

    keyword wholeright $\[0123456789\] brightred

    keyword wholeright $+ brightgreen

    keyword $ brightgreen


    keyword wholeleft linestart function*() brightmagenta
    keyword wholeleft linestart function\[\s\]+ brightmagenta
    keyword wholeright +() brightmagenta

wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789-._

    keyword whole alias yellow
    keyword whole bg yellow
    keyword whole break yellow
    keyword whole case yellow
    keyword whole continue yellow
    keyword whole done yellow
    keyword whole do yellow
    keyword whole elif yellow
    keyword whole else yellow
    keyword whole esac yellow
    keyword whole eval yellow
    keyword whole exec yellow
    keyword whole exit yellow
    keyword whole export yellow
    keyword whole fg yellow
    keyword whole fi yellow
    keyword whole for yellow
    keyword whole if yellow
    keyword whole in yellow
    keyword whole read yellow
    keyword whole return yellow
    keyword whole select yellow
    keyword whole set yellow
    keyword whole shift yellow
    keyword whole then yellow
    keyword whole trap yellow
    keyword whole umask yellow
    keyword whole unset yellow
    keyword whole until yellow
    keyword whole wait yellow
    keyword whole while yellow

    keyword whole arch cyan
    keyword whole ash cyan
    keyword whole awk cyan
    keyword whole basename cyan
    keyword whole bash cyan
    keyword whole bg_backup cyan
    keyword whole bg_restore cyan
    keyword whole bsh cyan
    keyword whole cat cyan
    keyword whole cd cyan
    keyword whole cmake cyan
    keyword whole chgrp cyan
    keyword whole chmod cyan
    keyword whole chown cyan
    keyword whole cp cyan
    keyword whole cpio cyan
    keyword whole csh cyan
    keyword whole date cyan
    keyword whole dd cyan
    keyword whole df cyan
    keyword whole dmesg cyan
    keyword whole dnsdomainname cyan
    keyword whole doexec cyan
    keyword whole domainname cyan
    keyword whole echo cyan
    keyword whole ed cyan
    keyword whole egrep cyan
    keyword whole ex cyan
    keyword whole false cyan
    keyword whole fgrep cyan
    keyword whole find cyan
    keyword whole fsconf cyan
    keyword whole gawk cyan
    keyword whole grep cyan
    keyword whole gunzip cyan
    keyword whole gzip cyan
    keyword whole hostname cyan
    keyword whole igawk cyan
    keyword whole install cyan
    keyword whole ipcalc cyan
    keyword whole kill cyan
    keyword whole ksh cyan
    keyword whole linuxconf cyan
    keyword whole ln cyan
    keyword whole login cyan
    keyword whole lpdconf cyan
    keyword whole ls cyan
    keyword whole mail cyan
    keyword whole make cyan
    keyword whole mkdir cyan
    keyword whole mknod cyan
    keyword whole mktemp cyan
    keyword whole more cyan
    keyword whole mount cyan
    keyword whole msg cyan
    keyword whole mt cyan
    keyword whole mv cyan
    keyword whole netconf cyan
    keyword whole netstat cyan
    keyword whole nice cyan
    keyword whole nisdomainname cyan
    keyword whole patch cyan
    keyword whole ping cyan
    keyword whole ps cyan
    keyword whole pwd cyan
    keyword whole red cyan
    keyword whole remadmin cyan
    keyword whole rm cyan
    keyword whole rmdir cyan
    keyword whole rpm cyan
    keyword whole sed cyan
    keyword whole set cyan
    keyword whole setserial cyan
    keyword whole sh cyan
    keyword whole sleep cyan
    keyword whole sort cyan
    keyword whole stty cyan
    keyword whole su cyan
    keyword whole sync cyan
    keyword whole taper cyan
    keyword whole tar cyan
    keyword whole tcsh cyan
    keyword whole test cyan
    keyword whole time cyan
    keyword whole touch cyan
    keyword whole true cyan
    keyword whole umount cyan
    keyword whole uname cyan
    keyword whole userconf cyan
    keyword whole usleep cyan
    keyword whole vi cyan
    keyword whole view cyan
    keyword whole vim cyan
    keyword whole xconf cyan
    keyword whole ypdomainname cyan
    keyword whole zcat cyan
    keyword whole zsh cyan

wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789_

context # \n brown
    spellcheck

context exclusive whole <<\[\s\]\[-\]\[\s\]\[\\\]EOF EOF green
context exclusive whole <<\[\s\]\[-\]\[\s\]\[\\\]END END green

context ' ' green

context " " green
    keyword whole $srcdir brightcyan
    keyword whole $pkgdir brightcyan
    keyword whole $startdir brightcyan
    keyword whole ${srcdir} brightcyan
    keyword whole ${pkgdir} brightcyan
    keyword whole ${startdir} brightcyan
    keyword whole $(srcdir) brightcyan
    keyword whole $(pkgdir) brightcyan
    keyword whole $(startdir) brightcyan

    keyword \\* brightgreen
    keyword \\@ brightgreen
    keyword \\$ brightgreen
    keyword \\\\ brightgreen
    keyword \\` brightgreen
    keyword \\" brightgreen
    keyword $(*) brightgreen
    keyword ${*} brightgreen
    keyword $\* brightred
    keyword $@ brightred
    keyword $# brightred
    keyword $? brightred
    keyword $- brightred
    keyword $$ brightred
    keyword $! brightred
    keyword $_ brightred
    keyword wholeright $\[0123456789\] brightred

    keyword wholeright $+ brightgreen

    keyword $ brightgreen

context exclusive ` ` lightgray black
    keyword whole $srcdir brightcyan
    keyword whole $pkgdir brightcyan
    keyword whole $startdir brightcyan
    keyword whole ${srcdir} brightcyan
    keyword whole ${pkgdir} brightcyan
    keyword whole ${startdir} brightcyan
    keyword whole $(srcdir) brightcyan
    keyword whole $(pkgdir) brightcyan
    keyword whole $(startdir) brightcyan

    keyword '*' green
    keyword " green
    keyword \\` green
    keyword ; brightcyan
    keyword ${*} brightgreen
    keyword $(*) brightgreen
    keyword { brightcyan
    keyword } brightcyan

    keyword $\* brightred
    keyword $@ brightred
    keyword $# brightred
    keyword $? brightred
    keyword $- brightred
    keyword $$ brightred
    keyword $! brightred
    keyword $_ brightred

    keyword wholeright $\[0123456789\] brightred

    keyword wholeright $+ brightgreen

    keyword $ brightgreen

wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789-._

    keyword whole break yellow
    keyword whole case yellow
    keyword whole continue yellow
    keyword whole done yellow
    keyword whole do yellow
    keyword whole elif yellow
    keyword whole else yellow
    keyword whole esac yellow
    keyword whole exit yellow
    keyword whole fi yellow
    keyword whole for yellow
    keyword whole if yellow
    keyword whole in yellow
    keyword whole return yellow
    keyword whole select yellow
    keyword whole shift yellow
    keyword whole then yellow
    keyword whole trap yellow
    keyword whole until yellow
    keyword whole while yellow

    keyword whole arch cyan
    keyword whole ash cyan
    keyword whole awk cyan
    keyword whole basename cyan
    keyword whole bash cyan
    keyword whole bg_backup cyan
    keyword whole bg_restore cyan
    keyword whole bsh cyan
    keyword whole cat cyan
    keyword whole cd cyan
    keyword whole chgrp cyan
    keyword whole chmod cyan
    keyword whole chown cyan
    keyword whole cmake cyan
    keyword whole cp cyan
    keyword whole cpio cyan
    keyword whole csh cyan
    keyword whole date cyan
    keyword whole dd cyan
    keyword whole df cyan
    keyword whole dmesg cyan
    keyword whole dnsdomainname cyan
    keyword whole doexec cyan
    keyword whole domainname cyan
    keyword whole echo cyan
    keyword whole ed cyan
    keyword whole egrep cyan
    keyword whole ex cyan
    keyword whole false cyan
    keyword whole fgrep cyan
    keyword whole find cyan
    keyword whole fsconf cyan
    keyword whole gawk cyan
    keyword whole grep cyan
    keyword whole gunzip cyan
    keyword whole gzip cyan
    keyword whole hostname cyan
    keyword whole igawk cyan
    keyword whole install cyan
    keyword whole ipcalc cyan
    keyword whole kill cyan
    keyword whole ksh cyan
    keyword whole linuxconf cyan
    keyword whole ln cyan
    keyword whole login cyan
    keyword whole lpdconf cyan
    keyword whole ls cyan
    keyword whole mail cyan
    keyword whole mkdir cyan
    keyword whole mknod cyan
    keyword whole mktemp cyan
    keyword whole more cyan
    keyword whole mount cyan
    keyword whole mt cyan
    keyword whole mv cyan
    keyword whole netconf cyan
    keyword whole netstat cyan
    keyword whole nice cyan
    keyword whole nisdomainname cyan
    keyword whole patch cyan
    keyword whole ping cyan
    keyword whole ps cyan
    keyword whole pwd cyan
    keyword whole red cyan
    keyword whole remadmin cyan
    keyword whole rm cyan
    keyword whole rmdir cyan
    keyword whole rpm cyan
    keyword whole sed cyan
    keyword whole set cyan
    keyword whole setserial cyan
    keyword whole sh cyan
    keyword whole sleep cyan
    keyword whole sort cyan
    keyword whole stty cyan
    keyword whole su cyan
    keyword whole sync cyan
    keyword whole taper cyan
    keyword whole tar cyan
    keyword whole tcsh cyan
    keyword whole test cyan
    keyword whole time cyan
    keyword whole touch cyan
    keyword whole true cyan
    keyword whole umount cyan
    keyword whole uname cyan
    keyword whole userconf cyan
    keyword whole usleep cyan
    keyword whole vi cyan
    keyword whole view cyan
    keyword whole vim cyan
    keyword whole xconf cyan
    keyword whole ypdomainname cyan
    keyword whole zcat cyan
    keyword whole zsh cyan

context exclusive linestart options ) lightgray
    keyword whole strip brightgreen
    keyword whole docs brightgreen
    keyword whole libtool brightgreen
    keyword whole emptydirs brightgreen
    keyword whole zipman brightgreen
    keyword whole ccache brightgreen
    keyword whole distcc brightgreen
    keyword whole buildflags brightgreen
    keyword whole makeflags brightgreen
    keyword whole debug brightgreen
    keyword whole upx brightgreen

    keyword whole !strip brightred
    keyword whole !docs brightred
    keyword whole !libtool brightred
    keyword whole !emptydirs brightred
    keyword whole !zipman brightred
    keyword whole !ccache brightred
    keyword whole !distcc brightred
    keyword whole !buildflags brightred
    keyword whole !makeflags brightred
    keyword whole !debug brightred
    keyword whole !upx brightred

context exclusive linestart arch ) lightgray
    keyword whole any brightcyan
    keyword whole i686 brightcyan
    keyword whole x86_64 brightcyan
PK[�k�UTT	as.syntaxnu�[���#
# ActionScript syntax highlighting
# for MC Editor/CoolEdit
#
# Author: Oleg Kosenkov <oleg@kosenkov.ca>
# Based on js.syntax
#
# 2011-12-27  Oleg Kosenkov <oleg@kosenkov.ca>
#    * Initial public release.
#

context default

    #=========================
    # Keywords
    keyword whole arguments yellow
    keyword whole break yellow
    keyword whole caller yellow
    keyword whole case yellow
    keyword whole catch yellow
    keyword whole constructor yellow
    keyword whole continue yellow
    keyword whole else yellow
    keyword whole default yellow
    keyword whole delete yellow
    keyword whole for yellow
    keyword whole function yellow
    keyword whole private yellow
    keyword whole public yellow
    keyword whole internal yellow
    keyword whole protected yellow
    keyword whole native yellow
    keyword whole static yellow
    keyword whole if yellow
    keyword whole in yellow
    keyword whole instanceof yellow
    keyword whole new yellow
    keyword whole prototype yellow
    keyword whole override yellow
    keyword whole return yellow
    keyword whole switch yellow
    keyword whole this yellow
    keyword whole throw yellow
    keyword whole try yellow
    keyword whole typeof yellow
    keyword whole var yellow
    keyword whole while yellow
    keyword whole with yellow
    keyword whole import yellow
    keyword whole package yellow
    keyword whole class yellow
    keyword whole final yellow
    keyword whole const yellow
    keyword whole set yellow
    keyword whole get yellow
    keyword whole dynamic yellow
    keyword whole label yellow
    keyword whole super yellow
    keyword whole extends yellow
    keyword whole include yellow
    keyword whole namespace yellow
    keyword whole interface yellow
    keyword whole this yellow


    #=========================
    # Objects
    keyword whole ActiveXObject yellow
    keyword whole Array yellow
    keyword whole Boolean yellow
    keyword whole Date yellow
    keyword whole Dictionary yellow
    keyword whole Enumerator yellow
    keyword whole FileSystemObject yellow
    keyword whole Function yellow
    keyword whole Global yellow
    keyword whole Image yellow
    keyword whole Math yellow
    keyword whole Number yellow
    keyword whole Object yellow
    keyword whole TextStream yellow
    keyword whole RegExp yellow
    keyword whole VBArray yellow
    keyword whole String yellow
    keyword whole void yellow
    keyword whole int yellow

    #=========================
    # Most common functions
    keyword whole alert yellow
    keyword whole escape yellow
    keyword whole eval yellow
    keyword whole indexOf yellow
    keyword whole isNaN yellow
    keyword whole toString yellow
    keyword whole unescape yellow
    keyword whole valueOf yellow

    #=========================
    # Constants
    keyword whole false brightgreen
    keyword whole null brightgreen
    keyword whole true brightgreen
    keyword whole undefined yellow

    #=========================
    # Comments
    keyword /\* brown
    keyword \*/ brown
    keyword // brown

    #=========================
    # Numbers
    wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789_.

    keyword whole 0\{xX\}\{0123456789abcdefABCDEF\}\[0123456789abcdefABCDEF\] brightgreen
    keyword whole \{0123456789\}\[0123456789\] brightgreen
    keyword whole \[0123456789\]\.\{0123456789\}\[0123456789\] brightgreen
    keyword whole \{0123456789\}\[0123456789\]\.\[0123456789\] brightgreen
    keyword whole \{0123456789\}\[0123456789\]\{eE\}\{0123456789\}\[0123456789\] brightgreen
    keyword whole \{0123456789\}\[0123456789\]\{eE\}\{\-\+\}\{0123456789\}\[0123456789\] brightgreen
    keyword whole \{0123456789\}\[0123456789\]\.\{0123456789\}\[0123456789\]\{eE\}\{0123456789\}\[0123456789\] brightgreen
    keyword whole \{0123456789\}\[0123456789\]\.\{0123456789\}\[0123456789\]\{eE\}\{\-\+\}\{0123456789\}\[0123456789\] brightgreen

    #=========================
    # Special symbols
    keyword \. yellow
    keyword \* yellow
    keyword \+ yellow
    keyword - yellow
    keyword / yellow
    keyword % yellow
    keyword = yellow
    keyword ! yellow
    keyword & yellow
    keyword | yellow
    keyword ^ yellow
    keyword ~ yellow
    keyword > yellow
    keyword < yellow

    #=========================
    # Separators
    keyword { brightcyan
    keyword } brightcyan
    keyword ( brightcyan
    keyword ) brightcyan
    keyword [ brightcyan
    keyword ] brightcyan
    keyword , brightcyan
    keyword ? brightcyan
    keyword : brightcyan
    keyword ; brightmagenta


#=============================
# Comments

context exclusive /\* \*/ brown
    spellcheck
    keyword whole BUG brightred
    keyword whole FixMe brightred
    keyword whole FIXME brightred
    keyword whole Note brightred
    keyword whole NOTE brightred
    keyword whole ToDo brightred
    keyword whole TODO brightred
    keyword !!\[!\] brightred
    keyword ??\[?\] brightred


context exclusive // \n brown
    spellcheck
    keyword whole BUG brightred
    keyword whole FixMe brightred
    keyword whole FIXME brightred
    keyword whole Note brightred
    keyword whole NOTE brightred
    keyword whole ToDo brightred
    keyword whole TODO brightred
    keyword !!\[!\] brightred
    keyword ??\[?\] brightred


#=============================
# Strings

context " " green
    spellcheck
    keyword \\\{\\'"abtnvfr\} brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen

    keyword ' brightgreen

context ' ' green
    spellcheck
    keyword \\\{\\'"abtnvfr\} brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen

    keyword " brightgreen
PK[���H$$	js.syntaxnu�[���#
# JavaScript syntax highlighting
# for MC Editor/CoolEdit
#
# Author: Vlad Romanenko <VladRomanenko@ukr.net>
# Based on c.syntax
#
# TODO:
# Highlight JS regexpressions /../
# More built-in functions
#
# 2002-09-17  Vlad Romanenko <VladRomanenko@ukr.net>
#    * More C and Java consistency by Pavel Roskin <proski@gnu.org>.
#    * Advanced number highlighting.
#
# 2002-09-16  Vlad Romanenko <VladRomanenko@ukr.net>
#    * Initial public release.
#

context default

    #=========================
    # Keywords
    keyword whole arguments yellow
    keyword whole break yellow
    keyword whole caller yellow
    keyword whole case yellow
    keyword whole catch yellow
    keyword whole constructor yellow
    keyword whole continue yellow
    keyword whole else yellow
    keyword whole default yellow
    keyword whole delete yellow
    keyword whole for yellow
    keyword whole function yellow
    keyword whole if yellow
    keyword whole in yellow
    keyword whole instanceof yellow
    keyword whole new yellow
    keyword whole prototype yellow
    keyword whole return yellow
    keyword whole switch yellow
    keyword whole this yellow
    keyword whole throw yellow
    keyword whole try yellow
    keyword whole typeof yellow
    keyword whole var yellow
    keyword whole while yellow
    keyword whole with yellow

    #=========================
    # Objects
    keyword whole ActiveXObject yellow
    keyword whole Array yellow
    keyword whole Boolean yellow
    keyword whole Date yellow
    keyword whole Dictionary yellow
    keyword whole Enumerator yellow
    keyword whole FileSystemObject yellow
    keyword whole Function yellow
    keyword whole Global yellow
    keyword whole Image yellow
    keyword whole Math yellow
    keyword whole Number yellow
    keyword whole Object yellow
    keyword whole TextStream yellow
    keyword whole RegExp yellow
    keyword whole VBArray yellow

    #=========================
    # Most common functions
    keyword whole alert yellow
    keyword whole escape yellow
    keyword whole eval yellow
    keyword whole indexOf yellow
    keyword whole isNaN yellow
    keyword whole toString yellow
    keyword whole unescape yellow
    keyword whole valueOf yellow

    #=========================
    # Constants
    keyword whole false brightgreen
    keyword whole null brightgreen
    keyword whole true brightgreen
    keyword whole undefined yellow

    #=========================
    # Comments
    keyword /\* brown
    keyword \*/ brown
    keyword // brown

    #=========================
    # Numbers
    wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789_.

    keyword whole 0\{xX\}\{0123456789abcdefABCDEF\}\[0123456789abcdefABCDEF\] brightgreen
    keyword whole \{0123456789\}\[0123456789\] brightgreen
    keyword whole \[0123456789\]\.\{0123456789\}\[0123456789\] brightgreen
    keyword whole \{0123456789\}\[0123456789\]\.\[0123456789\] brightgreen
    keyword whole \{0123456789\}\[0123456789\]\{eE\}\{0123456789\}\[0123456789\] brightgreen
    keyword whole \{0123456789\}\[0123456789\]\{eE\}\{\-\+\}\{0123456789\}\[0123456789\] brightgreen
    keyword whole \{0123456789\}\[0123456789\]\.\{0123456789\}\[0123456789\]\{eE\}\{0123456789\}\[0123456789\] brightgreen
    keyword whole \{0123456789\}\[0123456789\]\.\{0123456789\}\[0123456789\]\{eE\}\{\-\+\}\{0123456789\}\[0123456789\] brightgreen

    #=========================
    # Special symbols
    keyword \. yellow
    keyword \* yellow
    keyword \+ yellow
    keyword - yellow
    keyword / yellow
    keyword % yellow
    keyword = yellow
    keyword ! yellow
    keyword & yellow
    keyword | yellow
    keyword ^ yellow
    keyword ~ yellow
    keyword > yellow
    keyword < yellow

    #=========================
    # Separators
    keyword { brightcyan
    keyword } brightcyan
    keyword ( brightcyan
    keyword ) brightcyan
    keyword [ brightcyan
    keyword ] brightcyan
    keyword , brightcyan
    keyword ? brightcyan
    keyword : brightcyan
    keyword ; brightmagenta


#=============================
# Comments

context exclusive /\* \*/ brown
    spellcheck
    keyword whole BUG brightred
    keyword whole FixMe brightred
    keyword whole FIXME brightred
    keyword whole Note brightred
    keyword whole NOTE brightred
    keyword whole ToDo brightred
    keyword whole TODO brightred
    keyword !!\[!\] brightred
    keyword ??\[?\] brightred


context exclusive // \n brown
    spellcheck
    keyword whole BUG brightred
    keyword whole FixMe brightred
    keyword whole FIXME brightred
    keyword whole Note brightred
    keyword whole NOTE brightred
    keyword whole ToDo brightred
    keyword whole TODO brightred
    keyword !!\[!\] brightred
    keyword ??\[?\] brightred


#=============================
# Strings

context " " green
    spellcheck
    keyword \\\{\\'"abtnvfr\} brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen

    keyword ' brightgreen

context ' ' green
    spellcheck
    keyword \\\{\\'"abtnvfr\} brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen

    keyword " brightgreen
PK[�)�V<<	m4.syntaxnu�[���#
# M4 Macroprocessor/Autoconf syntax highlighting
# for MC Editor/CoolEdit
#
# Author: Vlad Romanenko <VladRomanenko@ukr.net>
#
# 2002-09-19  Vlad Romanenko <VladRomanenko@ukr.net>
#    * Initial public release.
#

context default

    #=========================
    # Built-in macros with mandatory parameters
    keyword whole builtin yellow
    keyword whole decr yellow
    keyword whole define yellow
    keyword whole defn yellow
    keyword whole esyscmd yellow
    keyword whole eval yellow
    keyword whole format yellow
    keyword whole ifdef yellow
    keyword whole ifelse yellow
    keyword whole include yellow
    keyword whole incr yellow
    keyword whole index yellow
    keyword whole len yellow
    keyword whole maketemp yellow
    keyword whole patsubst yellow
    keyword whole popdef yellow
    keyword whole pushdef yellow
    keyword whole regexp yellow
    keyword whole sinclude yellow
    keyword whole substr yellow
    keyword whole syscmd yellow
    keyword whole translit yellow
    keyword whole undefine yellow

    #=========================
    # Built-in macros with optional parameters
    keyword whole changecom yellow
    keyword whole changequote yellow
    keyword whole debugfile yellow
    keyword whole debugmode yellow
    keyword whole divert yellow
    keyword whole divnum yellow
    keyword whole dumpdef yellow
    keyword whole errprint yellow
    keyword whole indir yellow
    keyword whole m4exit yellow
    keyword whole m4wrap yellow
    keyword whole shift yellow
    keyword whole sysval yellow
    keyword whole traceoff yellow
    keyword whole traceon yellow
    keyword whole undivert yellow

    #=========================
    # Autoconf and Automake macros
    keyword whole AC_\[ABCDEFGHIJKLMNOPQRSTUVWXYZ_\] cyan
    keyword whole AS_\[ABCDEFGHIJKLMNOPQRSTUVWXYZ_\] cyan
    keyword whole AM_\[ABCDEFGHIJKLMNOPQRSTUVWXYZ_\] cyan

    #=========================
    # Variables
    keyword whole __file__ brightred
    keyword whole __gnu__ brightred
    keyword whole __line__ brightred
    keyword whole __unix__ brightred
    keyword wholeright $\{0123456789\}\[0123456789\] brightred
    keyword wholeright $\{@\*#\} brightred

    #=========================
    # Special symbols
    keyword ` brightcyan
    keyword ' brightcyan
    keyword [ brightcyan
    keyword ] brightcyan
    keyword , brightcyan
    keyword ( brightcyan
    keyword ) brightcyan


#=============================
# Comments

context # \n brown
    spellcheck
    keyword whole BUG brightred
    keyword whole FixMe brightred
    keyword whole FIXME brightred
    keyword whole Note brightred
    keyword whole NOTE brightred
    keyword whole ToDo brightred
    keyword whole TODO brightred
    keyword !!\[!\] brightred
    keyword ??\[?\] brightred


context dnl \n brown
    spellcheck
    keyword whole BUG brightred
    keyword whole FixMe brightred
    keyword whole FIXME brightred
    keyword whole Note brightred
    keyword whole NOTE brightred
    keyword whole ToDo brightred
    keyword whole TODO brightred
    keyword !!\[!\] brightred
    keyword ??\[?\] brightred
PK[�1##latex.syntaxnu�[���context default
wholechars left \\
wholechars right abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ

    spellcheck

    keyword whole {\\it brightgreen
    keyword } brightgreen
    keyword whole {\\bf brightgreen
    keyword } brightgreen
    keyword { brightgreen

    keyword \\$ yellow

# type style
    keyword whole \\tiny yellow
    keyword whole \\scriptsize yellow
    keyword whole \\footnotesize yellow
    keyword whole \\small yellow
    keyword whole \\normalsize yellow
    keyword whole \\large yellow
    keyword whole \\Large yellow
    keyword whole \\LARGE yellow
    keyword whole \\huge yellow
    keyword whole \\Huge yellow

# accents and symbols
    keyword whole \\\{`'^"~=.uvHtcdb\}{\{aeiouAEIOU\}} yellow
    keyword whole \\dag yellow
    keyword whole \\ddag yellow
    keyword whole \\S yellow
    keyword whole \\P yellow
    keyword whole \\copyright yellow
    keyword whole \\pounds yellow

# sectioning and table of contents
    keyword whole \\part[*]{*} brightred
    keyword whole \\part{*} brightred
    keyword whole \\part\*{*} brightred
    keyword whole \\chapter[*]{*} brightred
    keyword whole \\chapter{*} brightred
    keyword whole \\chapter\*{*} brightred
    keyword whole \\section[*]{*} brightred
    keyword whole \\section{*} brightred
    keyword whole \\section\*{*} brightred
    keyword whole \\subsection[*]{*} brightred
    keyword whole \\subsection{*} brightred
    keyword whole \\subsection\*{*} brightred
    keyword whole \\subsubsection[*]{*} brightred
    keyword whole \\subsubsection{*} brightred
    keyword whole \\subsubsection\*{*} brightred
    keyword whole \\paragraph[*]{*} brightred
    keyword whole \\paragraph{*} brightred
    keyword whole \\paragraph\*{*} brightred
    keyword whole \\subparagraph[*]{*} brightred
    keyword whole \\subparagraph{*} brightred
    keyword whole \\subparagraph\*{*} brightred

    keyword whole \\appendix brightred
    keyword whole \\tableofcontents brightred

# misc
    keyword whole \\item[*] yellow
    keyword whole \\item yellow
    keyword whole \\\\ yellow
    keyword \\\s yellow black
    keyword \\@ yellow black
    keyword %% yellow
    keyword \\% yellow

# docuement and page styles
    keyword whole \\documentstyle[*]{*} yellow
    keyword whole \\documentstyle{*} yellow
    keyword whole \\pagestyle{*} yellow

# cross references
    keyword whole \\label{*} yellow
    keyword whole \\ref{*} yellow

# bibliography and citations
    keyword whole \\bibliography{*} yellow
    keyword whole \\bibitem[*]{*} yellow
    keyword whole \\bibitem{*} yellow
    keyword whole \\cite[*]{*} yellow
    keyword whole \\cite{*} yellow

# splitting the input
    keyword whole \\input{*} yellow
    keyword whole \\include{*} yellow
    keyword whole \\includeonly{*} yellow

# line breaking
    keyword whole \\linebreak[\[01234\]] yellow
    keyword whole \\nolinebreak[\[01234\]] yellow
    keyword whole \\linebreak yellow
    keyword whole \\nolinebreak yellow
    keyword whole \\[+] yellow
    keyword whole \\- yellow
    keyword whole \\sloppy yellow

# page breaking
    keyword whole \\pagebreak[\[01234\]] yellow
    keyword whole \\nopagebreak[\[01234\]] yellow
    keyword whole \\pagebreak yellow
    keyword whole \\nopagebreak yellow
    keyword whole \\samepage yellow
    keyword whole \\newpage yellow
    keyword whole \\clearpage yellow

# definitions
    keyword \\newcommand{*}[*] cyan
    keyword \\newcommand{*} cyan
    keyword \\newenvironment{*}[*]{*} cyan
    keyword \\newenvironment{*}{*} cyan

# boxes

# begins and ends
    keyword \\begin{document} brightred
    keyword \\begin{equation} brightred
    keyword \\begin{displaymath} brightred
    keyword \\begin{eqnarray} brightred
    keyword \\begin{quote} brightred
    keyword \\begin{quotation} brightred
    keyword \\begin{center} brightred
    keyword \\begin{verse} brightred
    keyword \\begin{verbatim} brightred
    keyword \\begin{itemize} brightred
    keyword \\begin{enumerate} brightred
    keyword \\begin{description} brightred
    keyword \\begin{list} brightred
    keyword \\begin{array} brightred
    keyword \\begin{tabular} brightred
    keyword \\begin{thebibliography}{*} brightred
    keyword \\begin{sloppypar} brightred

    keyword \\end{document} brightred
    keyword \\end{equation} brightred
    keyword \\end{displaymath} brightred
    keyword \\end{eqnarray} brightred
    keyword \\end{quote} brightred
    keyword \\end{quotation} brightred
    keyword \\end{center} brightred
    keyword \\end{verse} brightred
    keyword \\end{verbatim} brightred
    keyword \\end{itemize} brightred
    keyword \\end{enumerate} brightred
    keyword \\end{description} brightred
    keyword \\end{list} brightred
    keyword \\end{array} brightred
    keyword \\end{tabular} brightred
    keyword \\end{thebibliography}{*} brightred
    keyword \\end{sloppypar} brightred

    keyword \\begin{*} brightcyan
    keyword \\end{*} brightcyan

    keyword \\theorem{*}{*} yellow

# if all else fails
    keyword whole \\begin white
    keyword whole \\+[*][*]{*}{*}{*} brightcyan
    keyword whole \\+[*][*]{*}{*} brightcyan
    keyword whole \\+[*]{*}{*}{*} brightcyan
    keyword whole \\+[*]{*}{*} brightcyan
    keyword whole \\+[*]{*} brightcyan
    keyword whole \\+{*}{*}{*}{*} brightcyan
    keyword whole \\+{*}{*}{*} brightcyan
    keyword whole \\+{*}{*} brightcyan
    keyword whole \\+{*} brightcyan
    keyword whole \\+ brightcyan

context exclusive whole {\\bf } brightmagenta
    keyword whole \\tiny yellow
    keyword whole \\scriptsize yellow
    keyword whole \\footnotesize yellow
    keyword whole \\small yellow
    keyword whole \\normalsize yellow
    keyword whole \\large yellow
    keyword whole \\Large yellow
    keyword whole \\LARGE yellow
    keyword whole \\huge yellow
    keyword whole \\Huge yellow
    keyword whole \\\{`'^"~=.uvHtcdb\}{\{aeiouAEIOU\}} yellow
    keyword whole \\dag yellow
    keyword whole \\ddag yellow
    keyword whole \\S yellow
    keyword whole \\P yellow
    keyword whole \\copyright yellow
    keyword whole \\pounds yellow
    keyword whole \\\\ yellow
    keyword \\\s yellow black
    keyword \\@ yellow black
    keyword %% yellow
    keyword whole \\label{*} yellow
    keyword whole \\ref{*} yellow
    keyword whole \\bibitem[*]{*} yellow
    keyword whole \\bibitem{*} yellow
    keyword whole \\cite[*]{*} yellow
    keyword whole \\cite{*} yellow
    keyword whole \\[+] yellow
    keyword whole \\- yellow
    keyword whole \\sloppy yellow
    keyword whole \\+[*][*]{*}{*}{*} brightcyan
    keyword whole \\+[*][*]{*}{*} brightcyan
    keyword whole \\+[*]{*}{*}{*} brightcyan
    keyword whole \\+[*]{*}{*} brightcyan
    keyword whole \\+[*]{*} brightcyan
    keyword whole \\+{*}{*}{*}{*} brightcyan
    keyword whole \\+{*}{*}{*} brightcyan
    keyword whole \\+{*}{*} brightcyan
    keyword whole \\+{*} brightcyan
    keyword whole \\+ brightcyan

context exclusive whole {\\it } magenta
    keyword whole \\tiny yellow
    keyword whole \\scriptsize yellow
    keyword whole \\footnotesize yellow
    keyword whole \\small yellow
    keyword whole \\normalsize yellow
    keyword whole \\large yellow
    keyword whole \\Large yellow
    keyword whole \\LARGE yellow
    keyword whole \\huge yellow
    keyword whole \\Huge yellow
    keyword whole \\\{`'^"~=.uvHtcdb\}{\{aeiouAEIOU\}} yellow
    keyword whole \\dag yellow
    keyword whole \\ddag yellow
    keyword whole \\S yellow
    keyword whole \\P yellow
    keyword whole \\copyright yellow
    keyword whole \\pounds yellow
    keyword whole \\\\ yellow
    keyword \\\s yellow black
    keyword \\@ yellow black
    keyword %% yellow
    keyword whole \\label{*} yellow
    keyword whole \\ref{*} yellow
    keyword whole \\bibitem[*]{*} yellow
    keyword whole \\bibitem{*} yellow
    keyword whole \\cite[*]{*} yellow
    keyword whole \\cite{*} yellow
    keyword whole \\[+] yellow
    keyword whole \\- yellow
    keyword whole \\sloppy yellow
    keyword whole \\+[*][*]{*}{*}{*} brightcyan
    keyword whole \\+[*][*]{*}{*} brightcyan
    keyword whole \\+[*]{*}{*}{*} brightcyan
    keyword whole \\+[*]{*}{*} brightcyan
    keyword whole \\+[*]{*} brightcyan
    keyword whole \\+{*}{*}{*}{*} brightcyan
    keyword whole \\+{*}{*}{*} brightcyan
    keyword whole \\+{*}{*} brightcyan
    keyword whole \\+{*} brightcyan
    keyword whole \\+ brightcyan



context \\pagenumbering{ } yellow
    keyword arabic brightcyan
    keyword roman brightcyan
    keyword alph brightcyan
    keyword Roman brightcyan
    keyword Alph brightcyan

context % \n brown

context exclusive \\begin{verbatim} \\end{verbatim} white

# mathematical formulas
context $ $ brightgreen
context exclusive \\begin{equation} \\end{equation} brightgreen
context exclusive \\begin{displaymath} \\end{displaymath} brightgreen
context exclusive \\begin{eqnarray} \\end{eqnarray} brightgreen
PK[��ڎu/u/assembler.syntaxnu�[���#
# NASM,WASM,TASM,MASM and GAS x86 syntax highlight
# // Mike Gorchak
# // Andres Farfan 2012
#

caseinsensitive

context default lightgray

# NASM directives
    keyword whole BITS white
    keyword whole SECTION white
    keyword whole SEGMENT white
    keyword whole ABSOLUTE white
    keyword whole EXTERN white
    keyword whole GLOBAL white
    keyword whole COMMON white
    keyword whole CPU white

# NASM, WASM, TASM, MASM and common modifiers
    keyword whole ALIGN white
    keyword whole ALIGNB white
    keyword whole STRUC white
    keyword whole ENDSTRUC white
    keyword whole ISTRUC white
    keyword whole IEND white
    keyword whole AT white
    keyword whole __FILE__ white
    keyword whole __LINE__ white
    keyword whole __NASM_VER__ white
    keyword whole __NASM_VERSION_ID__ white
    keyword whole __NASM_MAJOR__ white
    keyword whole __NASM_MINOR__ white
    keyword whole __NASM_SUBMINOR__ white
    keyword whole __NASM_PATCHLEVEL__ white
    keyword whole __SECT__ white
    keyword whole STRICT white
    keyword whole SEG white
    keyword whole WRT white
    keyword whole NOSPLIT white
    keyword whole BYTE white
    keyword whole WORD white
    keyword whole DWORD white
    keyword whole QWORD white
    keyword whole TWORD white

# Pseudo instructions
    keyword whole ORG white
    keyword whole EQU white
    keyword whole DB white
    keyword whole DW white
    keyword whole DD white
    keyword whole DQ white
    keyword whole DT white
    keyword whole RESB white
    keyword whole RESW white
    keyword whole RESD white
    keyword whole RESQ white
    keyword whole REST white
    keyword whole INCBIN white
    keyword whole TIMES white

# GAS specific
    keyword whole .string white
    keyword whole .section white
    keyword whole .align white
    keyword whole .type white
    keyword whole .size white
    keyword whole .comm white
    keyword whole .ident white
    keyword whole .globl white
    keyword whole .rodata brightblue
    keyword whole .data brightblue
    keyword whole .text brightblue
    keyword whole .bss brightblue

# NASM/TASM Macroses
    keyword whole %ifdef brightred
    keyword whole %define brightred
    keyword whole %else brightred
    keyword whole %elif brightred
    keyword whole %endif brightred
    keyword whole %macro brightred
    keyword whole %endmacro brightred
    keyword whole %rep brightred
    keyword whole %repl brightred
    keyword whole %strlen brightred
    keyword whole %substr brightred
    keyword whole %arg brightred
    keyword whole %stacksize brightred
    keyword whole %local brightred
    keyword whole %push brightred
    keyword whole %pop brightred
    keyword whole %line brightred
    keyword whole %\! brightred
    keyword whole %\+ brightred

# Generic 16-bit registers set
    keyword whole %al brightmagenta
    keyword whole %bl brightmagenta
    keyword whole %cl brightmagenta
    keyword whole %dl brightmagenta
    keyword whole %ah brightmagenta
    keyword whole %bh brightmagenta
    keyword whole %ch brightmagenta
    keyword whole %dh brightmagenta
    keyword whole %ax brightmagenta
    keyword whole %bx brightmagenta
    keyword whole %cx brightmagenta
    keyword whole %dx brightmagenta
    keyword whole %si brightmagenta
    keyword whole %di brightmagenta
    keyword whole %bp brightmagenta
    keyword whole %sp brightmagenta
    keyword whole %es brightmagenta
    keyword whole %cs brightmagenta
    keyword whole %ds brightmagenta

    keyword whole AL brightmagenta
    keyword whole BL brightmagenta
    keyword whole CL brightmagenta
    keyword whole DL brightmagenta
    keyword whole AH brightmagenta
    keyword whole BH brightmagenta
    keyword whole CH brightmagenta
    keyword whole DH brightmagenta
    keyword whole AX brightmagenta
    keyword whole BX brightmagenta
    keyword whole CX brightmagenta
    keyword whole DX brightmagenta
    keyword whole SI brightmagenta
    keyword whole DI brightmagenta
    keyword whole BP brightmagenta
    keyword whole SP brightmagenta
    keyword whole ES brightmagenta
    keyword whole CS brightmagenta
    keyword whole DS brightmagenta

# Generic 32-bit registers set
    keyword whole %eax brightmagenta
    keyword whole %ebx brightmagenta
    keyword whole %ecx brightmagenta
    keyword whole %edx brightmagenta
    keyword whole %esi brightmagenta
    keyword whole %edi brightmagenta
    keyword whole %ebp brightmagenta
    keyword whole %esp brightmagenta
    keyword whole %fs brightmagenta
    keyword whole %gs brightmagenta

    keyword whole EAX brightmagenta
    keyword whole EBX brightmagenta
    keyword whole ECX brightmagenta
    keyword whole EDX brightmagenta
    keyword whole ESI brightmagenta
    keyword whole EDI brightmagenta
    keyword whole EBP brightmagenta
    keyword whole ESP brightmagenta
    keyword whole FS brightmagenta
    keyword whole GS brightmagenta

    keyword whole CR0 magenta
    keyword whole CR1 magenta
    keyword whole CR2 magenta
    keyword whole CR3 magenta
    keyword whole DR0 magenta
    keyword whole DR1 magenta
    keyword whole DR3 magenta
    keyword whole DR4 magenta
    keyword whole DR5 magenta
    keyword whole DR6 magenta
    keyword whole DR7 magenta
    keyword whole TR0 magenta
    keyword whole TR1 magenta
    keyword whole TR2 magenta
    keyword whole TR3 magenta
    keyword whole TR4 magenta
    keyword whole TR5 magenta
    keyword whole TR6 magenta
    keyword whole TR7 magenta
    keyword whole TR8 magenta
    keyword whole TR9 magenta
    keyword whole TR10 magenta
    keyword whole TR11 magenta

# Generic AMD64 registers set
    keyword whole %rax brightmagenta
    keyword whole %rbx brightmagenta
    keyword whole %rcx brightmagenta
    keyword whole %rdx brightmagenta
    keyword whole %rsi brightmagenta
    keyword whole %rdi brightmagenta
    keyword whole %rbp brightmagenta
    keyword whole %rsp brightmagenta
    keyword whole %r8 brightmagenta
    keyword whole %r9 brightmagenta
    keyword whole %r10 brightmagenta
    keyword whole %r11 brightmagenta
    keyword whole %r12 brightmagenta
    keyword whole %r13 brightmagenta
    keyword whole %r14 brightmagenta
    keyword whole %r15 brightmagenta

    keyword whole RAX brightmagenta
    keyword whole RBX brightmagenta
    keyword whole RCX brightmagenta
    keyword whole RDX brightmagenta
    keyword whole RSI brightmagenta
    keyword whole RDI brightmagenta
    keyword whole RBP brightmagenta
    keyword whole RSP brightmagenta
    keyword whole R8 brightmagenta
    keyword whole R9 brightmagenta
    keyword whole R10 brightmagenta
    keyword whole R11 brightmagenta
    keyword whole R12 brightmagenta
    keyword whole R13 brightmagenta
    keyword whole R14 brightmagenta
    keyword whole R15 brightmagenta

#AMD64 32-bit registers. 64-bit mode
    keyword whole %r8d brightmagenta
    keyword whole %r9d brightmagenta
    keyword whole %r10d brightmagenta
    keyword whole %r11d brightmagenta
    keyword whole %r12d brightmagenta
    keyword whole %r13d brightmagenta
    keyword whole %r14d brightmagenta
    keyword whole %r15d brightmagenta

    keyword whole R8D brightmagenta
    keyword whole R9D brightmagenta
    keyword whole R10D brightmagenta
    keyword whole R11D brightmagenta
    keyword whole R12D brightmagenta
    keyword whole R13D brightmagenta
    keyword whole R14D brightmagenta
    keyword whole R15D brightmagenta

#AMD64 16-bit registers. 64-bit mode
    keyword whole %r8w brightmagenta
    keyword whole %r9w brightmagenta
    keyword whole %r10w brightmagenta
    keyword whole %r11w brightmagenta
    keyword whole %r12w brightmagenta
    keyword whole %r13w brightmagenta
    keyword whole %r14w brightmagenta
    keyword whole %r15w brightmagenta

    keyword whole R8W brightmagenta
    keyword whole R9W brightmagenta
    keyword whole R10W brightmagenta
    keyword whole R11W brightmagenta
    keyword whole R12W brightmagenta
    keyword whole R13W brightmagenta
    keyword whole R14W brightmagenta
    keyword whole R15W brightmagenta

#AMD64 8-bit registers. 64-bit mode
    keyword whole %sil brightmagenta
    keyword whole %dil brightmagenta
    keyword whole %bpl brightmagenta
    keyword whole %spl brightmagenta
    keyword whole %r8b brightmagenta
    keyword whole %r9b brightmagenta
    keyword whole %r10b brightmagenta
    keyword whole %r11b brightmagenta
    keyword whole %r12b brightmagenta
    keyword whole %r13b brightmagenta
    keyword whole %r14b brightmagenta
    keyword whole %r15b brightmagenta

    keyword whole SIL brightmagenta
    keyword whole DIL brightmagenta
    keyword whole BPL brightmagenta
    keyword whole SPL brightmagenta
    keyword whole R8B brightmagenta
    keyword whole R9B brightmagenta
    keyword whole R10B brightmagenta
    keyword whole R11B brightmagenta
    keyword whole R12B brightmagenta
    keyword whole R13B brightmagenta
    keyword whole R14B brightmagenta
    keyword whole R15B brightmagenta

#AMD64 System registers. 64-bit mode
    keyword whole CR8 magenta

#AMD64 SSE Registers. 64-bit mode
    keyword whole %xmm8 brightcyan
    keyword whole %xmm9 brightcyan
    keyword whole %xmm10 brightcyan
    keyword whole %xmm11 brightcyan
    keyword whole %xmm12 brightcyan
    keyword whole %xmm13 brightcyan
    keyword whole %xmm14 brightcyan
    keyword whole %xmm15 brightcyan

    keyword whole xmm8 brightcyan
    keyword whole xmm9 brightcyan
    keyword whole xmm10 brightcyan
    keyword whole xmm11 brightcyan
    keyword whole xmm12 brightcyan
    keyword whole xmm13 brightcyan
    keyword whole xmm14 brightcyan
    keyword whole xmm15 brightcyan

# FPU registers set
    keyword whole st0 brightcyan
    keyword whole st1 brightcyan
    keyword whole st2 brightcyan
    keyword whole st3 brightcyan
    keyword whole st4 brightcyan
    keyword whole st5 brightcyan
    keyword whole st6 brightcyan
    keyword whole st7 brightcyan
    keyword whole %st0 brightcyan
    keyword whole %st1 brightcyan
    keyword whole %st2 brightcyan
    keyword whole %st3 brightcyan
    keyword whole %st4 brightcyan
    keyword whole %st5 brightcyan
    keyword whole %st6 brightcyan
    keyword whole %st7 brightcyan

# MMX/3DNOW registers set
    keyword whole mm0 brightcyan
    keyword whole mm1 brightcyan
    keyword whole mm2 brightcyan
    keyword whole mm3 brightcyan
    keyword whole mm4 brightcyan
    keyword whole mm5 brightcyan
    keyword whole mm6 brightcyan
    keyword whole mm7 brightcyan
    keyword whole %mm0 brightcyan
    keyword whole %mm1 brightcyan
    keyword whole %mm2 brightcyan
    keyword whole %mm3 brightcyan
    keyword whole %mm4 brightcyan
    keyword whole %mm5 brightcyan
    keyword whole %mm6 brightcyan
    keyword whole %mm7 brightcyan

# SSE registers set
    keyword whole xmm0 brightcyan
    keyword whole xmm1 brightcyan
    keyword whole xmm2 brightcyan
    keyword whole xmm3 brightcyan
    keyword whole xmm4 brightcyan
    keyword whole xmm5 brightcyan
    keyword whole xmm6 brightcyan
    keyword whole xmm7 brightcyan
    keyword whole %xmm0 brightcyan
    keyword whole %xmm1 brightcyan
    keyword whole %xmm2 brightcyan
    keyword whole %xmm3 brightcyan
    keyword whole %xmm4 brightcyan
    keyword whole %xmm5 brightcyan
    keyword whole %xmm6 brightcyan
    keyword whole %xmm7 brightcyan

# Common symbols
    keyword ; brown
    keyword ! brown
    keyword /\* brown
    keyword \*/ brown
    keyword [ white
    keyword ] white
    keyword , white
    keyword \+ white
    keyword - white
    keyword . white
    keyword ( white
    keyword ) white
    keyword : white
    keyword @ white
    keyword >> white
    keyword << white
    keyword % white
    keyword \\ white
    keyword / white
    keyword // white
    keyword ~ white
    keyword ^ white
    keyword & white
    keyword \* white
    keyword | white
    keyword $ brightgreen
    keyword $$ brightgreen
    keyword %% cyan

context " " green
context ' ' brightgreen
context exclusive ; \n brown
    spellcheck

context exclusive /\* \*/ brown
    spellcheck

context exclusive ! \n brown
    spellcheck
PK[�Z����nroff.syntaxnu�[���wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789_-+="'[]{}()

context default
    spellcheck
    keyword \\fP brightgreen
    keyword \\fR brightgreen
    keyword \\fB brightgreen
    keyword \\fI brightgreen
    keyword whole linestart .AS cyan
    keyword whole linestart .Ar cyan
    keyword whole linestart .At cyan
    keyword whole linestart .BE cyan
    keyword whole linestart .BH cyan
    keyword whole linestart .BI cyan
    keyword whole linestart .BR cyan
    keyword whole linestart .BS cyan
    keyword whole linestart .Bd cyan
    keyword whole linestart .Bk cyan
    keyword whole linestart .Bl cyan
    keyword whole linestart .Bu cyan
    keyword whole linestart .Bx cyan
    keyword whole linestart .CE cyan
    keyword whole linestart .CM cyan
    keyword whole linestart .CS cyan
    keyword whole linestart .CT cyan
    keyword whole linestart .CW cyan
    keyword whole linestart .Cm cyan
    keyword whole linestart .Co cyan
    keyword whole linestart .DA cyan
    keyword whole linestart .DE cyan
    keyword whole linestart .DS cyan
    keyword whole linestart .DT cyan
    keyword whole linestart .Dd cyan
    keyword whole linestart .De cyan
    keyword whole linestart .Dl cyan
    keyword whole linestart .Dq cyan
    keyword whole linestart .Ds cyan
    keyword whole linestart .Dt cyan
    keyword whole linestart .Dv cyan
    keyword whole linestart .EE cyan
    keyword whole linestart .EN cyan
    keyword whole linestart .EQ cyan
    keyword whole linestart .EX cyan
    keyword whole linestart .Ed cyan
    keyword whole linestart .Ee cyan
    keyword whole linestart .Ek cyan
    keyword whole linestart .El cyan
    keyword whole linestart .Em cyan
    keyword whole linestart .En cyan
    keyword whole linestart .Ev cyan
    keyword whole linestart .Ex cyan
    keyword whole linestart .FI cyan
    keyword whole linestart .FL cyan
    keyword whole linestart .FN cyan
    keyword whole linestart .FT cyan
    keyword whole linestart .Fi cyan
    keyword whole linestart .Fl cyan
    keyword whole linestart .Fn cyan
    keyword whole linestart .HP cyan
    keyword whole linestart .HS cyan
    keyword whole linestart .Hh cyan
    keyword whole linestart .Hi cyan
    keyword whole linestart .IB cyan
    keyword whole linestart .IP cyan
    keyword whole linestart .IR cyan
    keyword whole linestart .IX cyan
    keyword whole linestart .Ic cyan
    keyword whole linestart .Id cyan
    keyword whole linestart .Ip cyan
    keyword whole linestart .It cyan
    keyword whole linestart .LI cyan
    keyword whole linestart .LO cyan
    keyword whole linestart .LP cyan
    keyword whole linestart .LR cyan
    keyword whole linestart .Li cyan
    keyword whole linestart .MF cyan
    keyword whole linestart .ML cyan
    keyword whole linestart .MU cyan
    keyword whole linestart .MV cyan
    keyword whole linestart .NF cyan
    keyword whole linestart .Nd cyan
    keyword whole linestart .Nm cyan
    keyword whole linestart .No cyan
    keyword whole linestart .OP cyan
    keyword whole linestart .Oc cyan
    keyword whole linestart .Oo cyan
    keyword whole linestart .Op cyan
    keyword whole linestart .Os cyan
    keyword whole linestart .PD cyan
    keyword whole linestart .PN cyan
    keyword whole linestart .PP cyan
    keyword whole linestart .PU cyan
    keyword whole linestart .Pa cyan
    keyword whole linestart .Pf cyan
    keyword whole linestart .Pp cyan
    keyword whole linestart .Pq cyan
    keyword whole linestart .Pr cyan
    keyword whole linestart .Ps cyan
    keyword whole linestart .Ql cyan
    keyword whole linestart .RB cyan
    keyword whole linestart .RE cyan
    keyword whole linestart .RI cyan
    keyword whole linestart .RS cyan
    keyword whole linestart .RT cyan
    keyword whole linestart .Re cyan
    keyword whole linestart .Rs cyan
    keyword whole linestart .SB cyan
    keyword whole linestart .SH cyan
    keyword whole linestart .SM cyan
    keyword whole linestart .SP cyan
    keyword whole linestart .SS cyan
    keyword whole linestart .Sa cyan
    keyword whole linestart .Sh cyan
    keyword whole linestart .Sm cyan
    keyword whole linestart .Sp cyan
    keyword whole linestart .Sq cyan
    keyword whole linestart .Ss cyan
    keyword whole linestart .St cyan
    keyword whole linestart .Sx cyan
    keyword whole linestart .Sy cyan
    keyword whole linestart .TE cyan
    keyword whole linestart .TH cyan
    keyword whole linestart .TP cyan
    keyword whole linestart .TQ cyan
    keyword whole linestart .TS cyan
    keyword whole linestart .Tn cyan
    keyword whole linestart .Tp cyan
    keyword whole linestart .UC cyan
    keyword whole linestart .Uh cyan
    keyword whole linestart .Ux cyan
    keyword whole linestart .VE cyan
    keyword whole linestart .VS cyan
    keyword whole linestart .Va cyan
    keyword whole linestart .Vb cyan
    keyword whole linestart .Ve cyan
    keyword whole linestart .Xc cyan
    keyword whole linestart .Xe cyan
    keyword whole linestart .Xr cyan
    keyword whole linestart .YN cyan
    keyword whole linestart .ad cyan
    keyword whole linestart .am cyan
    keyword whole linestart .bd cyan
    keyword whole linestart .bp cyan
    keyword whole linestart .br cyan
    keyword whole linestart .ce cyan
    keyword whole linestart .cs cyan
    keyword whole linestart .de cyan
    keyword whole linestart .ds cyan
    keyword whole linestart .ec cyan
    keyword whole linestart .eh cyan
    keyword whole linestart .el cyan
    keyword whole linestart .eo cyan
    keyword whole linestart .ev cyan
    keyword whole linestart .fc cyan
    keyword whole linestart .fi cyan
    keyword whole linestart .ft cyan
    keyword whole linestart .hy cyan
    keyword whole linestart .iX cyan
    keyword whole linestart .ie cyan
    keyword whole linestart .if cyan
    keyword whole linestart .ig cyan
    keyword whole linestart .in cyan
    keyword whole linestart .ll cyan
    keyword whole linestart .lp cyan
    keyword whole linestart .ls cyan
    keyword whole linestart .mk cyan
    keyword whole linestart .na cyan
    keyword whole linestart .ne cyan
    keyword whole linestart .nf cyan
    keyword whole linestart .nh cyan
    keyword whole linestart .nr cyan
    keyword whole linestart .ns cyan
    keyword whole linestart .oh cyan
    keyword whole linestart .ps cyan
    keyword whole linestart .re cyan
    keyword whole linestart .rm cyan
    keyword whole linestart .rn cyan
    keyword whole linestart .rr cyan
    keyword whole linestart .so cyan
    keyword whole linestart .sp cyan
    keyword whole linestart .ss cyan
    keyword whole linestart .ta cyan
    keyword whole linestart .ti cyan
    keyword whole linestart .tm cyan
    keyword whole linestart .tr cyan
    keyword whole linestart .ul cyan
    keyword whole linestart .vs cyan
    keyword whole linestart .zZ cyan
    keyword whole linestart .B cyan
    keyword whole linestart .F cyan
    keyword whole linestart .I cyan
    keyword whole linestart .R cyan
    keyword whole linestart .P cyan
    keyword whole linestart .L cyan
    keyword whole linestart .V cyan
    keyword whole linestart .F cyan
    keyword whole linestart .T cyan
    keyword whole linestart .X cyan
    keyword whole linestart .Y cyan
    keyword whole linestart .b cyan
    keyword whole linestart .l cyan
    keyword whole linestart .i cyan

context exclusive linestart .SH \n white
    keyword \\fB*\\fP yellow
    keyword \\fI*\\fP brightred
    spellcheck
context exclusive linestart .TH \n white
    keyword \\fB*\\fP yellow
    keyword \\fI*\\fP brightred
    spellcheck
context exclusive linestart .B \n yellow
    keyword \\fI*\\fP brightred
    spellcheck
context exclusive linestart .I \n brightred
    keyword \\fB*\\fP yellow
    spellcheck
context exclusive whole linestart .nf linestart .fi green
    keyword \\fB*\\fP yellow
    keyword \\fI*\\fP brightred
    spellcheck

# font changes should end in a \fP
context exclusive \\fB \\f\{PR\} yellow
    spellcheck
context exclusive \\fI \\f\{PR\} brightred
    spellcheck
context linestart .\\" \n brown
    spellcheck
PK[>ƪN����html.syntaxnu�[���
# html highlighting with tags in caps always. if you want everything in lowercase
# use tr

# i got upto IMG in the netscape comprehensive tags reference. thereafter will get
# done later - paul

caseinsensitive

context default
    keyword whole &*; brightgreen/16
    spellcheck

    keyword </A> brightcyan/17
    keyword </ADDRESS> brightcyan/17
    keyword </APPLET> brightcyan/17
    keyword </AREA> brightcyan/17
    keyword </B> brightcyan/17
    keyword </BASE> brightcyan/17
    keyword </BASEFONT> brightcyan/17
    keyword </BIG> brightcyan/17
    keyword </BLOCKQUOTE> brightcyan/17
    keyword </BODY> brightcyan/17
    keyword </BR> brightcyan/17
    keyword </BUTTON> brightcyan/17
    keyword </CAPTION> brightcyan/17
    keyword </CENTER> brightcyan/17
    keyword </CITE> brightcyan/17
    keyword </CODE> brightcyan/17
    keyword </DD> brightcyan/17
    keyword </DIR> brightcyan/17
    keyword </DIV> brightcyan/17
    keyword </DL> brightcyan/17
    keyword </DT> brightcyan/17
    keyword </EM> brightcyan/17
    keyword </FONT> brightcyan/17
    keyword </FORM> brightcyan/17
    keyword </FRAME> brightcyan/17
    keyword </FRAMESET> brightcyan/17
    keyword </H1> brightcyan/17
    keyword </H2> brightcyan/17
    keyword </H3> brightcyan/17
    keyword </H4> brightcyan/17
    keyword </H5> brightcyan/17
    keyword </H6> brightcyan/17
    keyword </HEAD> brightcyan/17
    keyword </HR> brightcyan/17
    keyword </HTML> brightcyan/17
    keyword </I> brightcyan/17
    keyword </IMG> brightcyan/17
    keyword </PRE> brightcyan/17
    keyword </SMALL> brightcyan/17
    keyword </SCRIPT> brightcyan/17
    keyword </META> brightcyan/17
    keyword </OL> brightcyan/17
    keyword </P> brightcyan/17
    keyword </TABLE> brightcyan/17
    keyword </TD> brightcyan/17
    keyword </TITLE> brightcyan/17
    keyword </TR> brightcyan/17
    keyword </TT> brightcyan/17
    keyword </U> brightcyan/17
    keyword </UL> brightcyan/17


context <A >                    brightcyan/17
    keyword = brightred/18

    keyword "http:*" magenta/22
    keyword "ftp:*" magenta/22
    keyword "news:*" magenta/22
    keyword "mailto:*" magenta/22
    keyword "gopher:*" magenta/22
    keyword "telnet:*" magenta/22
    keyword "file:*" magenta/22
    keyword "javascript:*" magenta/22

    keyword "Alternate" magenta/22
    keyword "Stylesheet" magenta/22
    keyword "Start" magenta/22
    keyword "Next" magenta/22
    keyword "Prev" magenta/22
    keyword "Contents" magenta/22
    keyword "Index" magenta/22
    keyword "Glossary" magenta/22
    keyword "Copyright" magenta/22
    keyword "Chapter" magenta/22
    keyword "Section" magenta/22
    keyword "Subsection" magenta/22
    keyword "Appendix" magenta/22
    keyword "Help" magenta/22
    keyword "Bookmark" magenta/22

    keyword "_blank" magenta/22
    keyword "_self" magenta/22
    keyword "_parent" magenta/22
    keyword "_top" magenta/22

    keyword "*" cyan/5

#    keyword whole charset       yellow/24
#    keyword whole type          yellow/24
    keyword whole name yellow/24
    keyword whole href yellow/24
#    keyword whole hreflang      yellow/24
#    keyword whole rel           yellow/24
#    keyword whole rev           yellow/24
    keyword whole shape yellow/24
    keyword whole coords yellow/24
#    keyword whole tabindex      yellow/24
#    keyword whole selected      yellow/24
    keyword whole target yellow/24
    keyword whole onblur yellow/24
    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onfocus yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24


context <ADDRESS >              brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole dir yellow/24
    keyword whole title yellow/24
    keyword whole lang yellow/24

    keyword whole onblur yellow/24
    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onfocus yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24
    keyword "*" cyan/5

context <APPLET >               brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole align yellow/24
    keyword whole alt yellow/24
    keyword whole archive yellow/24
    keyword whole code yellow/24
    keyword whole codebase yellow/24
    keyword whole height yellow/24
    keyword whole hspace yellow/24
    keyword whole mayscript yellow/24
    keyword whole name yellow/24
    keyword whole object yellow/24
    keyword whole vspace yellow/24
    keyword whole width yellow/24

    keyword "http:*" magenta/22
    keyword "ftp:*" magenta/22
    keyword "news:*" magenta/22
    keyword "mailto:*" magenta/22
    keyword "gopher:*" magenta/22
    keyword "telnet:*" magenta/22
    keyword "file:*" magenta/22
    keyword "javascript:*" magenta/22
    keyword "*.class" magenta/22
    keyword "*" cyan/5

context <AREA >                 brightcyan/17
    keyword = brightred/18

#    keyword whole accesskey     yellow/24
#    keyword whole alt           yellow/24
    keyword whole coords yellow/24
    keyword whole href yellow/24
    keyword whole nohref yellow/24
    keyword whole shape yellow/24
#    keyword whole onfocus       yellow/24
#    keyword whole onblur        yellow/24
    keyword whole target magenta/22
    keyword "_blank" magenta/22
    keyword "_self" magenta/22
    keyword "_parent" magenta/22
    keyword "_top" magenta/22

    keyword "DEFAULT" magenta/22
    keyword "CIRCLE" magenta/22
    keyword "POLY" magenta/22
    keyword "POLYGON" magenta/22
    keyword "RECT" magenta/22
    keyword "RECTANGLE" magenta/22

    keyword "http:*" magenta/22
    keyword "ftp:*" magenta/22
    keyword "news:*" magenta/22
    keyword "gopher:*" magenta/22
    keyword "telnet:*" magenta/22
    keyword "*" cyan/5

context <B >                    brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24
    keyword "*" cyan/5

context <BASE >                 brightcyan/17
    keyword = brightred/18

    keyword whole href yellow/24
    keyword whole target yellow/24
    keyword "_blank" magenta/22
    keyword "_self" magenta/22
    keyword "_parent" magenta/22
    keyword "_top" magenta/22

    keyword "http:*" magenta/22
    keyword "ftp:*" magenta/22
    keyword "*" cyan/5

context <BASEFONT >             brightcyan/17
    keyword = brightred/18
    keyword " magenta/22

    keyword whole id yellow/24
    keyword whole size yellow/24
    keyword whole color yellow/24
    keyword whole face yellow/24
    keyword "*" cyan/5

context <BIG >                  brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24
    keyword "*" cyan/5


context <BLOCKQUOTE >           brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24

    keyword whole cite yellow/24
    keyword "*" cyan/5


context <BODY >                 brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24

    keyword whole onload yellow/24
    keyword whole onunload yellow/24

    keyword whole alink yellow/24
    keyword whole background yellow/24

    keyword "*.gif" brightred/19
    keyword "*.jpg" brightred/19
    keyword "*.png" brightred/19
    keyword "*" cyan/5

    keyword whole bgcolor yellow/24
    keyword whole link yellow/24
    keyword whole text yellow/24
    keyword whole vlink yellow/24

context <BR >                   brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24
    keyword "*" cyan/5


context <BUTTON >               brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24
    keyword "*" cyan/5

context <CAPTION >              brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24

    keyword whole align yellow/24
    keyword whole valign yellow/24
    keyword whole onmouseup yellow/24
    keyword "*" cyan/5

context <CENTER >               brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24
    keyword "*" cyan/5


context <CITE >                 brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24
    keyword "*" cyan/5


context <CODE >                 brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24
    keyword "*" cyan/5

context <DD >                   brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24
    keyword "*" cyan/5

context <DIR >                  brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24
    keyword "*" cyan/5


context <DIV >                  brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24

    keyword whole align yellow/24
    keyword whole "center" magenta/22
    keyword whole "justify" magenta/22
    keyword whole "left" magenta/22
    keyword whole "right" magenta/22
    keyword "*" cyan/5


context <DL >                   brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24
    keyword "*" cyan/5

context <DT >                   brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24
    keyword "*" cyan/5

context <EM >                   brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24
    keyword "*" cyan/5


context <DT >                   brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24
    keyword "*" cyan/5

context <FONT >                 brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24

    keyword whole size yellow/24
    keyword whole color yellow/24
    keyword whole face yellow/24
    keyword "*" cyan/5

context <FORM >                 brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24

    keyword whole action yellow/24
    keyword whole enctype yellow/24
    keyword whole method yellow/24
    keyword "GET" magenta/22
    keyword "POST" magenta/22
    keyword whole onreset yellow/24
    keyword whole onsubmit yellow/24
    keyword whole target yellow/24
    keyword "_blank" magenta/22
    keyword "_self" magenta/22
    keyword "_parent" magenta/22
    keyword "_top" magenta/22
    keyword "*" cyan/5


context <FRAME >                brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole frameborder yellow/24
    keyword whole marginheight yellow/24
    keyword whole marginwidth yellow/24
    keyword whole name yellow/24
    keyword whole noresize yellow/24
    keyword whole scrolling yellow/24
    keyword "YES" magenta/22
    keyword "NO" magenta/22
    keyword "AUTO" magenta/22
    keyword whole src yellow/24
    keyword "*" cyan/5


context <FRAMESET >             brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole border yellow/24
    keyword whole bordercolor yellow/24
    keyword whole cols yellow/24
    keyword whole onload yellow/24
    keyword whole onunload yellow/24
    keyword whole rows yellow/24


context <H1 >                   brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24

    keyword whole align yellow/24
    keyword "CENTRE" magenta/22
    keyword "LEFT" magenta/22
    keyword "RIGHT" magenta/22
    keyword "*" cyan/5


context <H2 >                   brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24

    keyword whole align yellow/24
    keyword "CENTRE" magenta/22
    keyword "LEFT" magenta/22
    keyword "RIGHT" magenta/22
    keyword "*" cyan/5


context <H3 >                   brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24

    keyword whole align yellow/24
    keyword "CENTRE" magenta/22
    keyword "LEFT" magenta/22
    keyword "RIGHT" magenta/22
    keyword "*" cyan/5


context <H4 >                   brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24

    keyword whole align yellow/24
    keyword "CENTRE" magenta/22
    keyword "LEFT" magenta/22
    keyword "RIGHT" magenta/22
    keyword "*" cyan/5


context <H5 >                   brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24

    keyword whole align yellow/24
    keyword "centre" magenta/22
    keyword "left" magenta/22
    keyword "right" magenta/22
    keyword "*" cyan/5


context <H6 >                   brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24

    keyword whole align yellow/24
    keyword "centre" magenta/22
    keyword "left" magenta/22
    keyword "right" magenta/22
    keyword "*" cyan/5


context <HEAD >                 brightcyan/17
    keyword = brightred/18

    keyword whole dir yellow/24
    keyword whole lang yellow/24
    keyword "*" cyan/5


context <HR >                   brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24
    keyword whole color yellow/24

    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24

    keyword whole align yellow/24
    keyword "centre" magenta/22
    keyword "left" magenta/22
    keyword "right" magenta/22
    keyword whole align yellow/24
    keyword whole noshade yellow/24
    keyword whole size yellow/24
    keyword whole width yellow/24
    keyword "*" cyan/5


context <HTML >                 brightcyan/17
    keyword = brightred/18

    keyword whole dir yellow/24
    keyword whole lang yellow/24
    keyword "*" cyan/5


context <IMG >                  brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24

    keyword whole alt yellow/24
    keyword whole border yellow/24
    keyword whole height yellow/24
    keyword whole hspace yellow/24
    keyword whole ismap yellow/24
    keyword whole src yellow/24
    keyword "*.gif" brightred/19
    keyword "*.jpg" brightred/19
    keyword "*.png" brightred/19
    keyword "*" cyan/5
    keyword whole usemap yellow/24
    keyword whole vspace yellow/24
    keyword whole width yellow/24
    keyword "*" cyan/5

context <I >                    brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24
    keyword "*" cyan/5


context <LINK >                 brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24

    keyword whole type yellow/24

    keyword "text/css" magenta/22
    keyword "text/javascript" magenta/22
    keyword "*" cyan/5


context <META >                 brightcyan/17
    keyword = brightred/18

    keyword whole content yellow/24
    keyword whole http-equiv yellow/24
    keyword whole name yellow/24
    keyword "*" cyan/5

context <P >                    brightcyan/17


context <TITLE >                brightcyan/17
    keyword = brightred/18
    keyword whole dir yellow/24
    keyword whole lang yellow/24
    keyword "*" cyan/5

context <SCRIPT >               brightcyan/17
    keyword = brightred/18

    keyword whole language yellow/24
    keyword whole src yellow/24

    keyword "JavaScript" magenta/22
    keyword "JavaScript1.2" magenta/22
    keyword "JavaScript1.1" magenta/22
    keyword "*" cyan/5

context <TABLE >                brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24

    keyword whole align yellow/24
    keyword whole bgcolor yellow/24
    keyword whole border yellow/24
    keyword whole width yellow/24
    keyword whole cellspacing yellow/24
    keyword whole cellpadding yellow/24
    keyword "right" magenta/22
    keyword "left" magenta/22
    keyword "*" cyan/5


context <SMALL >                brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24
    keyword "*" cyan/5

context <TD >                   brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24

    keyword whole colspan yellow/24
    keyword whole rowspan yellow/24
    keyword whole align yellow/24
    keyword "centre" magenta/22
    keyword "char" magenta/22
    keyword "justify" magenta/22
    keyword "left" magenta/22
    keyword "right" magenta/22
    keyword whole bgcolor yellow/24
    keyword whole valign yellow/24
    keyword "baseline" magenta/22
    keyword "bottom" magenta/22
    keyword "middle" magenta/22
    keyword "top" magenta/22
    keyword whole colorspan yellow/24
    keyword whole height yellow/24
    keyword whole nowrap yellow/24
    keyword whole rowspan yellow/24
    keyword whole width yellow/24

    keyword "*" cyan/5


context <TR >                   brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

    keyword whole onclick yellow/24
    keyword whole ondblclick yellow/24
    keyword whole onkeydown yellow/24
    keyword whole onkeypress yellow/24
    keyword whole onkeyup yellow/24
    keyword whole onmousedown yellow/24
    keyword whole onmousemove yellow/24
    keyword whole onmouseout yellow/24
    keyword whole onmouseover yellow/24
    keyword whole onmouseup yellow/24

    keyword whole align yellow/24
    keyword "centre" magenta/22
    keyword "char" magenta/22
    keyword "justify" magenta/22
    keyword "left" magenta/22
    keyword "right" magenta/22

    keyword whole bgcolor yellow/24
    keyword whole valign yellow/24
    keyword "baseline" magenta/22
    keyword "bottom" magenta/22
    keyword "middle" magenta/22
    keyword "top" magenta/22

    keyword "*" cyan/5

context <TT >                   brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

context <U >                    brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

context <PRE >                  brightcyan/17
    keyword = brightred/18

    keyword whole class yellow/24
    keyword whole id yellow/24
    keyword whole style yellow/24
    keyword whole title yellow/24

    keyword whole dir yellow/24
    keyword whole lang yellow/24

context <!-- -->                brown/22
    spellcheck

context <! > brightred/orange
    spellcheck

context < >                     cyan/15
PK[�K7V7V
puppet.syntaxnu�[���# Puppet syntax file for GNU Midnight Commander
# Authors: Sergey Zhuga <sergey.zhuga@gmail.com>
#          Phil Friderici <phil.friderici@i-tee.de>

context default

# Operators

  keyword =>  yellow
  keyword !=  yellow
  keyword \+= yellow
  keyword \+> yellow
  keyword ->  yellow
  keyword ~>  yellow
  keyword <-  yellow
  keyword <~  yellow
  keyword =   yellow

# Exported/Virtual Resources & Collectors

  keyword @   yellow
  keyword |>  yellow
  keyword |>> yellow
  keyword <<| yellow
  keyword <|  yellow

# Braces

  keyword { brightcyan
  keyword } brightcyan
  keyword ( brightcyan
  keyword ) brightcyan
  keyword [ brightcyan
  keyword ] brightcyan
  keyword , brightcyan
  keyword : brightcyan

# Booleans

  keyword whole false brightred
  keyword whole FALSE brightred
  keyword whole nil   brightred
  keyword whole NIL   brightred
  keyword whole undef brightred
  keyword whole UNDEF brightred
  keyword whole true  brightred
  keyword whole TRUE  brightred

# Variables

  keyword wholeright $+\:\:+\:\:+\:\:+\:\:+ white
  keyword wholeright $+\:\:+\:\:+\:\:+ white
  keyword wholeright $+\:\:+\:\:+ white
  keyword wholeright $+\:\:+ white
  keyword wholeright $+ white

# Parameters

  keyword whole absent magenta
  keyword whole aclinherit magenta
  keyword whole aclmode magenta
  keyword whole action_url magenta
  keyword whole active_checks_enabled magenta
  keyword whole address magenta
  keyword whole address1 magenta
  keyword whole address2 magenta
  keyword whole address3 magenta
  keyword whole address4 magenta
  keyword whole address5 magenta
  keyword whole address6 magenta
  keyword whole admin magenta
  keyword whole adminfile magenta
  keyword whole age magenta
  keyword whole alias magenta
  keyword whole allowcdrom magenta
  keyword whole allowdupe magenta
  keyword whole allowed_trunk_vlans magenta
  keyword whole allow_root magenta
  keyword whole arguments magenta
  keyword whole atboot magenta
  keyword whole atime magenta
  keyword whole attribute_membership magenta
  keyword whole attributes magenta
  keyword whole auth_class magenta
  keyword whole authenticate_user magenta
  keyword whole auth_membership magenta
  keyword whole auths magenta
  keyword whole auth_type magenta
  keyword whole autoboot magenta
  keyword whole backup magenta
  keyword whole baseurl magenta
  keyword whole binary magenta
  keyword whole blockdevice magenta
  keyword whole canmount magenta
  keyword whole can_submit_commands magenta
  keyword whole category magenta
  keyword whole changes magenta
  keyword whole check_command magenta
  keyword whole check_freshness magenta
  keyword whole check_interval magenta
  keyword whole check_period magenta
  keyword whole checksum magenta
  keyword whole clone magenta
  keyword whole command magenta
  keyword whole command_line magenta
  keyword whole command_name magenta
  keyword whole comment magenta
  keyword whole compression magenta
  keyword whole configfiles magenta
  keyword whole contactgroup_members magenta
  keyword whole contactgroup_name magenta
  keyword whole contactgroups magenta
  keyword whole contact_groups magenta
  keyword whole contact_name magenta
  keyword whole contacts magenta
  keyword whole content magenta
  keyword whole context magenta
  keyword whole control magenta
  keyword whole copies magenta
  keyword whole cost magenta
  keyword whole create_args magenta
  keyword whole creates magenta
  keyword whole ctime magenta
  keyword whole cwd magenta
  keyword whole dataset magenta
  keyword whole dependency_period magenta
  keyword whole dependent_hostgroup_name magenta
  keyword whole dependent_host_name magenta
  keyword whole dependent_service_description magenta
  keyword whole descr magenta
  keyword whole description magenta
  keyword whole device magenta
  keyword whole devices magenta
  keyword whole device_url magenta
  keyword whole disk magenta
  keyword whole display_name magenta
  keyword whole ds_name magenta
  keyword whole ds_type magenta
  keyword whole dump magenta
  keyword whole duplex magenta
  keyword whole email magenta
  keyword whole enable magenta
  keyword whole enabled magenta
  keyword whole enablegroups magenta
  keyword whole en_address magenta
  keyword whole encapsulation magenta
  keyword whole ensure magenta
  keyword whole escalation_options magenta
  keyword whole escalation_period magenta
  keyword whole etherchannel magenta
  keyword whole event_handler magenta
  keyword whole event_handler_enabled magenta
  keyword whole exclude magenta
  keyword whole exec magenta
  keyword whole execution_failure_criteria magenta
  keyword whole expiry magenta
  keyword whole failovermethod magenta
  keyword whole failure_prediction_enabled magenta
  keyword whole first_notification magenta
  keyword whole first_notification_delay magenta
  keyword whole flap_detection_enabled magenta
  keyword whole flap_detection_options magenta
  keyword whole flavor magenta
  keyword whole force magenta
  keyword whole freshness_threshold magenta
  keyword whole friday magenta
  keyword whole fstype magenta
  keyword whole gid magenta
  keyword whole gpgcheck magenta
  keyword whole gpgkey magenta
  keyword whole group magenta
  keyword whole groups magenta
  keyword whole hasrestart magenta
  keyword whole hasstatus magenta
  keyword whole high_flap_threshold magenta
  keyword whole home magenta
  keyword whole host_aliases magenta
  keyword whole hostgroup_members magenta
  keyword whole hostgroup_name magenta
  keyword whole hostgroups magenta
  keyword whole host_name magenta
  keyword whole host_notification_commands magenta
  keyword whole host_notification_options magenta
  keyword whole host_notification_period magenta
  keyword whole host_notifications_enabled magenta
  keyword whole hour magenta
  keyword whole http_caching magenta
  keyword whole ia_load_module magenta
  keyword whole icon_image magenta
  keyword whole icon_image_alt magenta
  keyword whole id magenta
  keyword whole ignore magenta
  keyword whole incl magenta
  keyword whole includepkgs magenta
  keyword whole inherit magenta
  keyword whole inherits_parent magenta
  keyword whole initial_state magenta
  keyword whole install_args magenta
  keyword whole install_options magenta
  keyword whole instance magenta
  keyword whole ip magenta
  keyword whole ipaddress magenta
  keyword whole ip_address magenta
  keyword whole iptype magenta
  keyword whole is_volatile magenta
  keyword whole keepalive magenta
  keyword whole key magenta
  keyword whole key_membership magenta
  keyword whole keys magenta
  keyword whole k_of_n magenta
  keyword whole last_notification magenta
  keyword whole lens magenta
  keyword whole links magenta
  keyword whole load_path magenta
  keyword whole log magenta
  keyword whole logbias magenta
  keyword whole logoutput magenta
  keyword whole low_flap_threshold magenta
  keyword whole mailserver magenta
  keyword whole managehome magenta
  keyword whole manifest magenta
  keyword whole matches magenta
  keyword whole max_check_attempts magenta
  keyword whole mechanisms magenta
  keyword whole members magenta
  keyword whole membership magenta
  keyword whole message magenta
  keyword whole metadata_expire magenta
  keyword whole minute magenta
  keyword whole mirror magenta
  keyword whole mirrorlist magenta
  keyword whole mode magenta
  keyword whole monday magenta
  keyword whole month magenta
  keyword whole monthday magenta
  keyword whole mountpoint magenta
  keyword whole mtime magenta
  keyword whole _naginator_name magenta
  keyword whole name magenta
  keyword whole native_vlan magenta
  keyword whole nbmand magenta
  keyword whole normal_check_interval magenta
  keyword whole notes magenta
  keyword whole notes_url magenta
  keyword whole notification_failure_criteria magenta
  keyword whole notification_interval magenta
  keyword whole notification_options magenta
  keyword whole notification_period magenta
  keyword whole notifications_enabled magenta
  keyword whole obsess_over_host magenta
  keyword whole obsess_over_service magenta
  keyword whole onlyif magenta
  keyword whole options magenta
  keyword whole owner magenta
  keyword whole pager magenta
  keyword whole parallelize_check magenta
  keyword whole parents magenta
  keyword whole pass magenta
  keyword whole passive_checks_enabled magenta
  keyword whole password magenta
  keyword whole password_max_age magenta
  keyword whole password_min_age magenta
  keyword whole path magenta
  keyword whole pattern magenta
  keyword whole period magenta
  keyword whole periodmatch magenta
  keyword whole persistent magenta
  keyword whole platform magenta
  keyword whole pool magenta
  keyword whole port magenta
  keyword whole primarycache magenta
  keyword whole principals magenta
  keyword whole priority magenta
  keyword whole process_perf_data magenta
  keyword whole profile_membership magenta
  keyword whole profiles magenta
  keyword whole project magenta
  keyword whole protect magenta
  keyword whole provider magenta
  keyword whole proxy magenta
  keyword whole proxy_password magenta
  keyword whole proxy_username magenta
  keyword whole purge magenta
  keyword whole quota magenta
  keyword whole raid_parity magenta
  keyword whole raidz magenta
  keyword whole range magenta
  keyword whole readonly magenta
  keyword whole realhostname magenta
  keyword whole realname magenta
  keyword whole recipient magenta
  keyword whole recordsize magenta
  keyword whole recurse magenta
  keyword whole recurselimit magenta
  keyword whole refquota magenta
  keyword whole refreservation magenta
  keyword whole refresh magenta
  keyword whole refreshonly magenta
  keyword whole register magenta
  keyword whole remounts magenta
  keyword whole repeat magenta
  keyword whole replace magenta
  keyword whole reservation magenta
  keyword whole responsefile magenta
  keyword whole restart magenta
  keyword whole retain_nonstatus_information magenta
  keyword whole retain_status_information magenta
  keyword whole retry_check_interval magenta
  keyword whole retry_interval magenta
  keyword whole returns magenta
  keyword whole rmdirs magenta
  keyword whole role_membership magenta
  keyword whole roles magenta
  keyword whole root magenta
  keyword whole rule magenta
  keyword whole saturday magenta
  keyword whole secondarycache magenta
  keyword whole selinux_ignore_defaults magenta
  keyword whole selmoduledir magenta
  keyword whole selmodulepath magenta
  keyword whole selrange magenta
  keyword whole selrole magenta
  keyword whole seltype magenta
  keyword whole seluser magenta
  keyword whole server magenta
  keyword whole service_description magenta
  keyword whole servicegroup_members magenta
  keyword whole servicegroup_name magenta
  keyword whole servicegroups magenta
  keyword whole service_notification_commands magenta
  keyword whole service_notification_options magenta
  keyword whole service_notification_period magenta
  keyword whole service_notifications_enabled magenta
  keyword whole session_owner magenta
  keyword whole setuid magenta
  keyword whole shared magenta
  keyword whole shareiscsi magenta
  keyword whole sharenfs magenta
  keyword whole shares magenta
  keyword whole sharesmb magenta
  keyword whole shell magenta
  keyword whole size magenta
  keyword whole snapdir magenta
  keyword whole source magenta
  keyword whole sourceselect magenta
  keyword whole spare magenta
  keyword whole special magenta
  keyword whole speed magenta
  keyword whole stalking_options magenta
  keyword whole start magenta
  keyword whole status magenta
  keyword whole statusmap_image magenta
  keyword whole stop magenta
  keyword whole sunday magenta
  keyword whole syncversion magenta
  keyword whole sysidcfg magenta
  keyword whole system magenta
  keyword whole target magenta
  keyword whole thursday magenta
  keyword whole timeout magenta
  keyword whole timeperiod_name magenta
  keyword whole tries magenta
  keyword whole trigger magenta
  keyword whole try_sleep magenta
  keyword whole tuesday magenta
  keyword whole type magenta
  keyword whole type_check magenta
  keyword whole uid magenta
  keyword whole unless magenta
  keyword whole unless_system_user magenta
  keyword whole url magenta
  keyword whole use magenta
  keyword whole user magenta
  keyword whole value magenta
  keyword whole vendor magenta
  keyword whole version magenta
  keyword whole volsize magenta
  keyword whole vrml_image magenta
  keyword whole vscan magenta
  keyword whole webserver magenta
  keyword whole wednesday magenta
  keyword whole weekday magenta
  keyword whole withpath magenta
  keyword whole working_dir magenta
  keyword whole xattr magenta
  keyword whole zoned magenta

# Meta parameters

  keyword whole alias brightmagenta
  keyword whole audit brightmagenta
  keyword whole before brightmagenta
  keyword whole check brightmagenta
  keyword whole consume brightmagenta
  keyword whole export brightmagenta
  keyword whole loglevel brightmagenta
  keyword whole noop brightmagenta
  keyword whole notify brightmagenta
  keyword whole require brightmagenta
  keyword whole schedule brightmagenta
  keyword whole stage brightmagenta
  keyword whole subscribe brightmagenta
  keyword whole tag brightmagenta

# Types

  keyword whole augeas red
  keyword whole computer red
  keyword whole cron red
  keyword whole exec red
  keyword whole file red
  keyword whole filebucket red
  keyword whole group red
  keyword whole host red
  keyword whole interface red
  keyword whole k5login red
  keyword whole macauthorization red
  keyword whole mailalias red
  keyword whole maillist red
  keyword whole mcx red
  keyword whole mount red
  keyword whole nagios_command red
  keyword whole nagios_contact red
  keyword whole nagios_contactgroup red
  keyword whole nagios_host red
  keyword whole nagios_hostdependency red
  keyword whole nagios_hostescalation red
  keyword whole nagios_hostextinfo red
  keyword whole nagios_hostgroup red
  keyword whole nagios_service red
  keyword whole nagios_servicedependency red
  keyword whole nagios_serviceescalation red
  keyword whole nagios_serviceextinfo red
  keyword whole nagios_servicegroup red
  keyword whole nagios_timeperiod red
  keyword whole notify red
  keyword whole package red
  keyword whole resources red
  keyword whole router red
  keyword whole schedule red
  keyword whole scheduled_task red
  keyword whole selboolean red
  keyword whole selmodule red
  keyword whole service red
  keyword whole ssh_authorized_key red
  keyword whole sshkey red
  keyword whole stage red
  keyword whole tidy red
  keyword whole user red
  keyword whole vlan red
  keyword whole yumrepo red
  keyword whole zfs red
  keyword whole zone red
  keyword whole zpool red

# Functions

  keyword whole alert brightred
  keyword whole assert_type brightred
  keyword whole contain brightred
  keyword whole create_resources brightred
  keyword whole crit brightred
  keyword whole debug brightred
  keyword whole defined brightred
  keyword whole digest brightred
  keyword whole each brightred
  keyword whole emerg brightred
  keyword whole epp brightred
  keyword whole err brightred
  keyword whole extlookup brightred
  keyword whole fail brightred
  keyword whole file brightred
  keyword whole filter brightred
  keyword whole fqdn_rand brightred
  keyword whole generate brightred
  keyword whole hiera brightred
  keyword whole hiera_array brightred
  keyword whole hiera_hash brightred
  keyword whole hiera_include brightred
  keyword whole include brightred
  keyword whole info brightred
  keyword whole inline_epp brightred
  keyword whole inline_template brightred
  keyword whole lookup brightred
  keyword whole map brightred
  keyword whole match brightred
  keyword whole md5 brightred
  keyword whole notice brightred
  keyword whole realize brightred
  keyword whole reduce brightred
  keyword whole regsubst brightred
  keyword whole require brightred
  keyword whole search brightred
  keyword whole scanf brightred
  keyword whole sha1 brightred
  keyword whole shellquote brightred
  keyword whole slice brightred
  keyword whole split brightred
  keyword whole sprintf brightred
  keyword whole tag brightred
  keyword whole tagged brightred
  keyword whole template brightred
  keyword whole versioncmp brightred
  keyword whole warning brightred
  keyword whole with brightred

# Conditional Statements and Expressions

  keyword whole ? yellow
  keyword whole and yellow
  keyword whole case yellow
  keyword whole default yellow
  keyword whole else yellow
  keyword whole elsif yellow
  keyword whole if yellow
  keyword whole in yellow
  keyword whole or yellow

# Reserved words (reserved for future use)

  keyword whole application yellow
  keyword whole attr yellow
  keyword whole consumes yellow
  keyword whole environment yellow
  keyword whole function yellow
  keyword whole import yellow
  keyword whole private yellow
  keyword whole produces yellow
  keyword whole type yellow

# Language keywords

  keyword whole absent yellow
  keyword whole class red
  keyword whole define yellow
  keyword whole directory yellow
  keyword whole include yellow
  keyword whole inherits yellow
  keyword whole installed yellow
  keyword whole latest yellow
  keyword whole link yellow
  keyword whole node yellow
  keyword whole on_failure yellow
  keyword whole present yellow
  keyword whole running yellow

# Stdlib 4.10.0 Types

  keyword whole file_line red

# Stdlib 4.10.0 Functions

  keyword whole abs brightred
  keyword whole any2array brightred
  keyword whole base64 brightred
  keyword whole basename brightred
  keyword whole bool2num brightred
  keyword whole bool2str brightred
  keyword whole capitalize brightred
  keyword whole ceiling brightred
  keyword whole chomp brightred
  keyword whole chop brightred
  keyword whole clamp brightred
  keyword whole concat brightred
  keyword whole convert_base brightred
  keyword whole count brightred
  keyword whole defined_with_params brightred
  keyword whole delete brightred
  keyword whole delete_at brightred
  keyword whole delete_values brightred
  keyword whole delete_undef_values brightred
  keyword whole difference brightred
  keyword whole dirname brightred
  keyword whole dos2unix brightred
  keyword whole downcase brightred
  keyword whole empty brightred
  keyword whole ensure_packages brightred
  keyword whole ensure_resource brightred
  keyword whole flatten brightred
  keyword whole floor brightred
  keyword whole fqdn_rand_string brightred
  keyword whole fqdn_rotate brightred
  keyword whole get_module_path brightred
  keyword whole getparam brightred
  keyword whole getvar brightred
  keyword whole grep brightred
  keyword whole has_interface_with brightred
  keyword whole has_ip_address brightred
  keyword whole has_ip_network brightred
  keyword whole has_key brightred
  keyword whole hash brightred
  keyword whole intersection brightred
  keyword whole is_a brightred
  keyword whole is_absolute_path brightred
  keyword whole is_array brightred
  keyword whole is_bool brightred
  keyword whole is_domain_name brightred
  keyword whole is_float brightred
  keyword whole is_function_available brightred
  keyword whole is_hash brightred
  keyword whole is_integer brightred
  keyword whole is_ip_address brightred
  keyword whole is_mac_address brightred
  keyword whole is_numeric brightred
  keyword whole is_string brightred
  keyword whole join brightred
  keyword whole join_keys_to_values brightred
  keyword whole keys brightred
  keyword whole loadyaml brightred
  keyword whole load_module_metadata brightred
  keyword whole lstrip brightred
  keyword whole max brightred
  keyword whole member brightred
  keyword whole merge brightred
  keyword whole min brightred
  keyword whole num2bool brightred
  keyword whole parsejson brightred
  keyword whole parseyaml brightred
  keyword whole pick brightred
  keyword whole pick_default brightred
  keyword whole prefix brightred
  keyword whole assert_private brightred
  keyword whole pw_hash brightred
  keyword whole range brightred
  keyword whole reject brightred
  keyword whole reverse brightred
  keyword whole rstrip brightred
  keyword whole seeded_rand brightred
  keyword whole shuffle brightred
  keyword whole size brightred
  keyword whole sort brightred
  keyword whole squeeze brightred
  keyword whole str2bool brightred
  keyword whole str2saltedsha512 brightred
  keyword whole strftime brightred
  keyword whole strip brightred
  keyword whole suffix brightred
  keyword whole swapcase brightred
  keyword whole time brightred
  keyword whole to_bytes brightred
  keyword whole try_get_value brightred
  keyword whole type3x brightred
  keyword whole type_of brightred
  keyword whole union brightred
  keyword whole unique brightred
  keyword whole unix2dos brightred
  keyword whole upcase brightred
  keyword whole uriescape brightred
  keyword whole validate_absolute_path brightred
  keyword whole validate_array brightred
  keyword whole validate_augeas brightred
  keyword whole validate_bool brightred
  keyword whole validate_cmd brightred
  keyword whole validate_hash brightred
  keyword whole validate_integer brightred
  keyword whole validate_ip_address brightred
  keyword whole validate_numeric brightred
  keyword whole validate_re brightred
  keyword whole validate_slength brightred
  keyword whole validate_string brightred
  keyword whole values brightred
  keyword whole values_at brightred
  keyword whole zip brightred

# Other contexts

context # \n brown
  spellcheck

context " " green
  keyword \\" brightgreen
  keyword \\\\ brightgreen
  keyword wholeright ${+\:\:+\:\:+\:\:+\:\:+} white
  keyword wholeright ${+\:\:+\:\:+\:\:+} white
  keyword wholeright ${+\:\:+\:\:+} white
  keyword wholeright ${+\:\:+} white
  keyword wholeright ${+} white
  keyword wholeright $+ white

context ' ' brightgreen
  keyword \\' green
  keyword \\\\ green

context exclusive ` ` white black
PK[,PrGd!d!
povray.syntaxnu�[���#
# POVRay - Persistence of Vision(tm) Ray Tracer syntax highlight
# // Mike Gorchak
#

context default lightgray

# Keywords
    keyword whole global_settings yellow
    keyword whole radiosity yellow
    keyword whole light_source yellow
    keyword whole fog yellow
    keyword whole sphere yellow
    keyword whole camera yellow
    keyword whole plane yellow
    keyword whole union yellow
    keyword whole box yellow
    keyword whole cylinder yellow
    keyword whole torus yellow
    keyword whole difference yellow
    keyword whole intersection yellow
    keyword whole object yellow
    keyword whole photons yellow
    keyword whole material yellow
    keyword whole texture yellow
    keyword whole pigment yellow
    keyword whole pigment_pattern yellow
    keyword whole pigment_map yellow
    keyword whole finish yellow
    keyword whole interior yellow
    keyword whole merge yellow
    keyword whole warp yellow
    keyword whole color_map yellow
    keyword whole colour_map yellow
    keyword whole isosurface yellow
    keyword whole sky_sphere yellow
    keyword whole bounded_by yellow
    keyword whole clipped_by yellow
    keyword whole normal yellow
    keyword whole tiles yellow
    keyword whole height_field yellow
    keyword whole image_map yellow
    keyword whole looks_like yellow
    keyword whole quadric yellow
    keyword whole scattering yellow
    keyword whole text yellow
    keyword whole bump_map yellow
    keyword whole texture_map yellow
    keyword whole slope yellow
    keyword whole contained_by yellow
    keyword whole superellipsoid yellow
    keyword whole cone yellow
    keyword whole blob yellow
    keyword whole light_group yellow

# Constructions
    keyword whole #switch white
    keyword whole #break white
    keyword whole #case white
    keyword whole #end white
    keyword whole #declare white
    keyword whole #macro white
    keyword whole #local white
    keyword whole #while white
    keyword whole #ifndef white
    keyword whole #version white
    keyword whole #ifdef white
    keyword whole #debug white
    keyword whole #include white
    keyword whole #if white
    keyword whole function white
    keyword whole array white

# Predefined constants
    keyword whole on brightblue
    keyword whole off brightblue
    keyword whole true brightblue
    keyword whole false brightblue
    keyword whole no brightblue
    keyword whole yes brightblue

# Built-in functions
    keyword whole sqrt brightmagenta
    keyword whole mod brightmagenta
    keyword whole min brightmagenta
    keyword whole max brightmagenta
    keyword whole sin brightmagenta
    keyword whole cos brightmagenta
    keyword whole pow brightmagenta
    keyword whole abs brightmagenta
    keyword whole concat brightmagenta
    keyword whole str brightmagenta
    keyword whole rand brightmagenta
    keyword whole seed brightmagenta

# Arguments
    keyword whole assumed_gamma brightgreen
    keyword whole max_trace_level brightgreen
    keyword whole noise_generator brightgreen
    keyword whole number_of_waves brightgreen
    keyword whole fog_type brightgreen
    keyword whole fog_alt brightgreen
    keyword whole fog_offset brightgreen
    keyword whole color brightgreen
    keyword whole colour brightgreen
    keyword whole rgb brightgreen
    keyword whole rgbf brightgreen
    keyword whole rgbt brightgreen
    keyword whole distance brightgreen
    keyword whole pretrace_start brightgreen
    keyword whole pretrace_end brightgreen
    keyword whole count brightgreen
    keyword whole nearest_count brightgreen
    keyword whole error_bound brightgreen
    keyword whole recursion_limit brightgreen
    keyword whole low_error_factor brightgreen
    keyword whole gray_threshold brightgreen
    keyword whole minimum_reuse brightgreen
    keyword whole brightness brightgreen
    keyword whole adc_bailout brightgreen
    keyword whole normal brightgreen
    keyword whole diffuse brightgreen
    keyword whole ambient brightgreen
    keyword whole scale brightgreen
    keyword whole translate brightgreen
    keyword whole rotate brightgreen
    keyword whole red brightgreen
    keyword whole green brightgreen
    keyword whole blue brightgreen
    keyword whole spacing brightgreen
    keyword whole location brightgreen
    keyword whole direction brightgreen
    keyword whole sky brightgreen
    keyword whole up brightgreen
    keyword whole right brightgreen
    keyword whole look_at brightgreen
    keyword whole angle brightgreen
    keyword whole reflection brightgreen
    keyword whole refraction brightgreen
    keyword whole area_light brightgreen
    keyword whole jitter brightgreen
    keyword whole circular brightgreen
    keyword whole orient brightgreen
    keyword whole specular brightgreen
    keyword whole roughness brightgreen
    keyword whole conserve_energy brightgreen
    keyword whole fresnel brightgreen
    keyword whole ior brightgreen
    keyword whole fade_distance brightgreen
    keyword whole fade_power brightgreen
    keyword whole fade_color brightgreen
    keyword whole fade_colour brightgreen
    keyword whole agate brightgreen
    keyword whole turbulence brightgreen
    keyword whole octaves brightgreen
    keyword whole granite brightgreen
    keyword whole checker brightgreen
    keyword whole gradient brightgreen
    keyword whole caustics brightgreen
    keyword whole phong brightgreen
    keyword whole phong_size brightgreen
    keyword whole filter brightgreen
    keyword whole inverse brightgreen
    keyword whole onion brightgreen
    keyword whole frequency brightgreen
    keyword whole sine_wave brightgreen
    keyword whole marble brightgreen
    keyword whole lambda brightgreen
    keyword whole omega brightgreen
    keyword whole quilted brightgreen
    keyword whole control0 brightgreen
    keyword whole control1 brightgreen
    keyword whole wrinkles brightgreen
    keyword whole boxed brightgreen
    keyword whole repeat brightgreen
    keyword whole png brightgreen
    keyword whole water_level brightgreen
    keyword whole png brightgreen
    keyword whole quick_color brightgreen
    keyword whole quick_colour brightgreen
    keyword whole hollow brightgreen
    keyword whole waves brightgreen
    keyword whole bozo brightgreen
    keyword whole adaptive brightgreen
    keyword whole media brightgreen
    keyword whole media_interaction brightgreen
    keyword whole brilliance brightgreen
    keyword whole spotlight brightgreen
    keyword whole radius brightgreen
    keyword whole falloff brightgreen
    keyword whole point_at brightgreen
    keyword whole extinction brightgreen
    keyword whole emission brightgreen
    keyword whole method brightgreen
    keyword whole intervals brightgreen
    keyword whole samples brightgreen
    keyword whole target brightgreen
    keyword whole collect brightgreen
    keyword whole ttf brightgreen
    keyword whole metallic brightgreen
    keyword whole cylindrical brightgreen
    keyword whole dispersion brightgreen
    keyword whole dispersion_samples brightgreen
    keyword whole crand brightgreen
    keyword whole pot brightgreen
    keyword whole bump_size brightgreen
    keyword whole interpolate brightgreen
    keyword whole ripples brightgreen
    keyword whole brick brightgreen
    keyword whole aa_threshold brightgreen
    keyword whole aa_level brightgreen
    keyword whole no_shadow brightgreen
    keyword whole accuracy brightgreen
    keyword whole altitude brightgreen
    keyword whole max_gradient brightgreen
    keyword whole support_piece brightgreen
    keyword whole open brightgreen
    keyword whole support brightgreen
    keyword whole bezier_spline brightgreen
    keyword whole cubic_spline brightgreen
    keyword whole shadowless brightgreen
    keyword whole threshold brightgreen
    keyword whole global_lights brightgreen
    keyword whole absorption brightgreen

# Common symbols
    keyword // brown
    keyword /\* brown
    keyword \*/ brown
    keyword { brightcyan
    keyword } brightcyan
    keyword , brightcyan
    keyword . brightcyan
    keyword \* brightcyan
    keyword / brightcyan
    keyword \+ brightcyan
    keyword - brightcyan
    keyword = brightcyan
    keyword ( brightcyan
    keyword ) brightcyan
    keyword < brightcyan
    keyword > brightcyan
    keyword [ brightcyan
    keyword ] brightcyan
    keyword ; brightcyan

context exclusive /\* \*/ brown
    spellcheck

context exclusive // \n brown
    spellcheck

context " " green
    spellcheck
PK[�&�!�!haskell.syntaxnu�[���# Author   : Sergei Trofimovich <slyfox@inbox.ru>
# Language : haskell
#
# 'Syntax rules for the C and the C++ programming languages' is taken as base
# (haskell compilers support CPP macros)
#
# haskell keywords and reserved symbols are grabbed from ghc-6.10.1/compiler/parser/Lexer.hs

context default

# haskell keywords

#   reserved keywords:

#   unused symbols
    keyword whole _\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] brightmagenta

    keyword whole as yellow
    keyword whole case yellow
    keyword whole class yellow
    keyword whole data yellow
    keyword whole default yellow
    keyword whole deriving yellow
    keyword whole do yellow
    keyword whole else yellow
    keyword whole hiding yellow
    keyword whole if yellow
    keyword whole import yellow
    keyword whole in yellow
    keyword whole infix yellow
    keyword whole infixl yellow
    keyword whole infixr yellow
    keyword whole instance yellow
    keyword whole let yellow
    keyword whole module yellow
    keyword whole newtype yellow
    keyword whole of yellow
    keyword whole qualified yellow
    keyword whole then yellow
    keyword whole type yellow
    keyword whole where yellow

#   extension keywords
    keyword whole forall yellow
    keyword whole mdo yellow
    keyword whole family yellow
    keyword whole group yellow
    keyword whole by yellow
    keyword whole using yellow

#   ffi
    keyword whole foreign yellow
    keyword whole export yellow
    keyword whole label yellow
    keyword whole dynamic yellow
    keyword whole safe yellow
    keyword whole threadsafe yellow
    keyword whole unsafe yellow
    keyword whole stdcall yellow
    keyword whole ccall yellow
    keyword whole dotnet yellow

    keyword whole rec yellow
    keyword whole proc yellow

#   other
    keyword . white
#    keyword whole .. white

#   unboxed (started from upcase)
    keyword whole \{ABCDEFGHIJKLMNOPQRSTUVWXYZ\}\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\]# white
#   types/modules
    keyword whole \{ABCDEFGHIJKLMNOPQRSTUVWXYZ\}\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] white

# C keywords (TODO: remove unused in haskell source)
    keyword whole auto yellow
    keyword whole break yellow
    keyword whole case yellow
    keyword whole char yellow
    keyword whole const yellow
    keyword whole continue yellow
    keyword whole do yellow
    keyword whole double yellow
    keyword whole else yellow
    keyword whole enum yellow
    keyword whole extern yellow
    keyword whole float yellow
    keyword whole for yellow
    keyword whole goto yellow
    keyword whole if yellow
    keyword whole int yellow
    keyword whole long yellow
    keyword whole register yellow
    keyword whole return yellow
    keyword whole short yellow
    keyword whole signed yellow
    keyword whole sizeof yellow
    keyword whole static yellow
    keyword whole struct yellow
    keyword whole switch yellow
    keyword whole typedef yellow
    keyword whole union yellow
    keyword whole unsigned yellow
    keyword whole void yellow
    keyword whole volatile yellow
    keyword whole while yellow
    keyword whole asm yellow
    keyword whole inline yellow
    keyword whole wchar_t yellow
    keyword whole ... yellow
    keyword whole linestart \{\s\t\}\[\s\t\]#*\n brightmagenta
    keyword whole \[\s\t\]default yellow
    keyword whole linestart \[\s\t\]\{ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\}\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\]: cyan

#digits
    keyword whole 0\{xX\}\{0123456789abcdefABCDEF\}\[0123456789abcdefABCDEF\] brightgreen
    keyword whole \{0123456789\}\[0123456789\] brightgreen
    keyword whole \[0123456789\]\.\{0123456789\}\[0123456789\] brightgreen
    keyword whole \{0123456789\}\[0123456789\]\.\[0123456789\] brightgreen
    keyword whole \{0123456789\}\[0123456789\]\{eE\}\{0123456789\}\[0123456789\] brightgreen
    keyword whole \{0123456789\}\[0123456789\]\{eE\}\{\-\+\}\{0123456789\}\[0123456789\] brightgreen
    keyword whole \{0123456789\}\[0123456789\]\.\{0123456789\}\[0123456789\]\{eE\}\{0123456789\}\[0123456789\] brightgreen
    keyword whole \{0123456789\}\[0123456789\]\.\{0123456789\}\[0123456789\]\{eE\}\{\-\+\}\{0123456789\}\[0123456789\] brightgreen

#comments
    keyword {- brown
    keyword -} brown
    keyword -- brown

    keyword \*/ brown
    keyword /\* brown
    keyword // brown

    keyword '\\\{"abtnvfr\}' brightgreen

#haskell standalone char escapes
    keyword '\\NUL' brightgreen
    keyword '\\SOH' brightgreen
    keyword '\\STX' brightgreen
    keyword '\\ETX' brightgreen
    keyword '\\EOT' brightgreen
    keyword '\\ENQ' brightgreen
    keyword '\\ACK' brightgreen
    keyword '\\BEL' brightgreen
    keyword '\\BS' brightgreen
    keyword '\\HT' brightgreen
    keyword '\\LF' brightgreen
    keyword '\\VT' brightgreen
    keyword '\\FF' brightgreen
    keyword '\\CR' brightgreen
    keyword '\\SO' brightgreen
    keyword '\\SI' brightgreen
    keyword '\\DLE' brightgreen
    keyword '\\DC1' brightgreen
    keyword '\\DC2' brightgreen
    keyword '\\DC3' brightgreen
    keyword '\\DC4' brightgreen
    keyword '\\NAK' brightgreen
    keyword '\\SYN' brightgreen
    keyword '\\ETB' brightgreen
    keyword '\\CAN' brightgreen
    keyword '\\EM' brightgreen
    keyword '\\SUB' brightgreen
    keyword '\\ESC' brightgreen
    keyword '\\FS' brightgreen
    keyword '\\GS' brightgreen
    keyword '\\RS' brightgreen
    keyword '\\US' brightgreen
    keyword '\\SP' brightgreen
    keyword '\\DEL' brightgreen

    keyword '\\\{0123\}\{01234567\}\{01234567\}' brightgreen
    keyword '\\'' brightgreen
    keyword '\\\\' brightgreen
    keyword '\\0' brightgreen
    keyword '\{\s!"#$%&()\*\+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[]^_`abcdefghijklmnopqrstuvwxyz{|}~���������������������������������������������������������������������������������������������\}' brightgreen

# some punctuation characters
    keyword whole $ yellow
    keyword whole ! white
    keyword whole && white
    keyword whole \* yellow
    keyword whole \+ yellow
    keyword whole / yellow
    keyword whole < yellow
    keyword whole > yellow
    keyword whole ^ white
    keyword whole || white
    keyword whole | white

    keyword ~ white
    keyword , brightcyan
    keyword - yellow
    keyword : brightcyan
    keyword ; yellow
    keyword = yellow
    keyword { white
    keyword } white
    keyword [ brightcyan
    keyword ] brightcyan
    keyword ( brightcyan
    keyword ) brightcyan

# comments
context exclusive /\* \*/ brown
    spellcheck

context exclusive {-# #-} green
    spellcheck

context exclusive {- -} brown
    spellcheck

context exclusive // \n brown
    spellcheck

context exclusive \-\- \n brown
    spellcheck

# C macros
context linestart # \n brightred
    keyword \\\n yellow
    keyword /\**\*/ brown
    keyword //*\n brown
    keyword "+" red
    keyword <+> red


context " " green
    spellcheck

#haskell escapes in ""s
    keyword \\NUL brightgreen
    keyword \\SOH brightgreen
    keyword \\STX brightgreen
    keyword \\ETX brightgreen
    keyword \\EOT brightgreen
    keyword \\ENQ brightgreen
    keyword \\ACK brightgreen
    keyword \\BEL brightgreen
    keyword \\BS brightgreen
    keyword \\HT brightgreen
    keyword \\LF brightgreen
    keyword \\VT brightgreen
    keyword \\FF brightgreen
    keyword \\CR brightgreen
    keyword \\SO brightgreen
    keyword \\SI brightgreen
    keyword \\DLE brightgreen
    keyword \\DC1 brightgreen
    keyword \\DC2 brightgreen
    keyword \\DC3 brightgreen
    keyword \\DC4 brightgreen
    keyword \\NAK brightgreen
    keyword \\SYN brightgreen
    keyword \\ETB brightgreen
    keyword \\CAN brightgreen
    keyword \\EM brightgreen
    keyword \\SUB brightgreen
    keyword \\ESC brightgreen
    keyword \\FS brightgreen
    keyword \\GS brightgreen
    keyword \\RS brightgreen
    keyword \\US brightgreen
    keyword \\SP brightgreen
    keyword \\DEL brightgreen

    keyword \\" brightgreen
    keyword %% brightgreen
    keyword %\[#0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[L\]\{eEfgGoxX\} brightgreen
    keyword %\[0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[hl\]\{diuxX\} brightgreen
    keyword %\[hl\]n brightgreen
    keyword %\[-\]\[0123456789\*\]\[.\]\[0123456789\*\]s brightgreen
    keyword %[*] brightgreen
    keyword %c brightgreen
    keyword %p brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
    keyword \\\\ brightgreen
    keyword \\' brightgreen
    keyword \\\{abtnvfr\} brightgreen

# infix binop
context exclusive ` ` white
PK[oHxx	sh.syntaxnu�[���#   Since I regulary update ths file, please send any comments
#   to:           Michelle Konzack <linux4michelle@freenet.de>
#   Last Update:  Thu, 01 Jun 2006 22:10:07 +0200


context default
    keyword ;; brightred
    keyword \\@ brightred
    keyword \\$ brightred
    keyword \\\\ brightred
    keyword \\" brightred
    keyword \\' brightred
    keyword \\` brightred
    keyword ` brightred
    keyword ; brightcyan
    keyword $(*) brightgreen
    keyword ${*} brightgreen
    keyword { brightcyan
    keyword } brightcyan

    keyword whole linestart #!\[\s\]*\n brightcyan black

    keyword $\* brightred
    keyword $@ brightred
    keyword $# brightred
    keyword $? brightred
    keyword $- brightred
    keyword $$ brightred
    keyword $! brightred
    keyword $_ brightred

    keyword whole 2>&1 brightred
    keyword whole 1>&2 brightred
    keyword whole 2> brightred
    keyword whole 1> brightred

    keyword wholeright $\[0123456789\] brightred

    keyword wholeright $+ brightgreen

    keyword $ brightgreen

    keyword wholeleft linestart function*() brightmagenta
    keyword wholeleft linestart function\[\s\]+ brightmagenta
    keyword wholeright +() brightmagenta

wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789-._

    keyword whole break yellow
    keyword whole case yellow
    keyword whole clear yellow
    keyword whole continue yellow
    keyword whole declare yellow
    keyword whole done yellow
    keyword whole do yellow
    keyword whole elif yellow
    keyword whole else yellow
    keyword whole esac yellow
    keyword whole exit yellow
    keyword whole export yellow
    keyword whole fi yellow
    keyword whole for yellow
    keyword whole getopts yellow
    keyword whole if yellow
    keyword whole in yellow
    keyword whole read yellow
    keyword whole return yellow
    keyword whole select yellow
    keyword whole shift yellow
    keyword whole source yellow
    keyword whole then yellow
    keyword whole trap yellow
    keyword whole until yellow
    keyword whole unset yellow
    keyword whole wait yellow
    keyword whole while yellow

    keyword whole accept cyan
    keyword whole accessdb cyan
    keyword whole activate cyan
    keyword whole addgroup cyan
    keyword whole add-shell cyan
    keyword whole adduser cyan
    keyword whole anytopnm cyan
    keyword whole apropos cyan
    keyword whole apt-cache cyan
    keyword whole apt-cdrom cyan
    keyword whole apt-config cyan
    keyword whole apt-file cyan
    keyword whole apt-ftparchive cyan
    keyword whole apt-get cyan
    keyword whole aptitude cyan
    keyword whole apt-sortpkgs cyan
    keyword whole apt-source cyan
    keyword whole ar cyan
    keyword whole arch cyan
    keyword whole arp cyan
    keyword whole as cyan
    keyword whole ash cyan
    keyword whole at cyan
    keyword whole atd cyan
    keyword whole atrun cyan
    keyword whole atq cyan
    keyword whole autoconf cyan
    keyword whole autoheader cyan
    keyword whole automake cyan
    keyword whole autopoint cyan
    keyword whole autoscan cyan
    keyword whole awk cyan
    keyword whole badblocks cyan
    keyword whole base64-decode cyan
    keyword whole base64-encode cyan
    keyword whole basename cyan
    keyword whole bash cyan
    keyword whole batch cyan
    keyword whole bc cyan
    keyword whole bg_backup cyan
    keyword whole bg_restore cyan
    keyword whole blockdev cyan
    keyword whole bootlogd cyan
    keyword whole bsd-write cyan
    keyword whole bsh cyan
    keyword whole bunzip2 cyan
    keyword whole bzcat cyan
    keyword whole bzcmp cyan
    keyword whole bzdiff cyan
    keyword whole bzegrep cyan
    keyword whole bzexe cyan
    keyword whole bzfgrep cyan
    keyword whole bzgrep cyan
    keyword whole bzip cyan
    keyword whole bzip2 cyan
    keyword whole bzip2recover cyan
    keyword whole bzless cyan
    keyword whole bzmore cyan
    keyword whole cabextract cyan
    keyword whole cal cyan
    keyword whole calendar cyan
    keyword whole cam cyan
    keyword whole cancel cyan
    keyword whole captoinfo cyan
    keyword whole cat cyan
    keyword whole catchsegv cyan
    keyword whole catman cyan
    keyword whole cd cyan
    keyword whole cdda2ogg cyan
    keyword whole cdda2mp3 cyan
    keyword whole cdda2wav cyan
    keyword whole cdrecord cyan
    keyword whole cfdisk cyan
    keyword whole chage cyan
    keyword whole charset cyan
    keyword whole chattr cyan
    keyword whole checkbashisms cyan
    keyword whole chfn cyan
    keyword whole chgrp cyan
    keyword whole chkdupexe cyan
    keyword whole chmod cyan
    keyword whole chown cyan
    keyword whole chpasswd cyan
    keyword whole chroot cyan
    keyword whole chsh cyan
    keyword whole chvt cyan
    keyword whole cksum cyan
    keyword whole clear cyan
    keyword whole cmp cyan
    keyword whole codepage cyan
    keyword whole col cyan
    keyword whole colcrt cyan
    keyword whole colrm cyan
    keyword whole column cyan
    keyword whole comm cyan
    keyword whole compose cyan
    keyword whole compress cyan
    keyword whole consolechars cyan
    keyword whole cp cyan
    keyword whole cpan cyan
    keyword whole cpgr cyan
    keyword whole cpio cyan
    keyword whole cpp cyan
    keyword whole cramfsck cyan
    keyword whole createdb cyan
    keyword whole createlang cyan
    keyword whole createuser cyan
    keyword whole c_rehash cyan
    keyword whole crontab cyan
    keyword whole csh cyan
    keyword whole csplit cyan
    keyword whole cupsaccept cyan
    keyword whole cupsaddsmb cyan
    keyword whole cupsd cyan
    keyword whole cupsreject cyan
    keyword whole curl cyan
    keyword whole cut cyan
    keyword whole date cyan
    keyword whole dash cyan
    keyword whole dch cyan
    keyword whole dd cyan
    keyword whole deallocvt cyan
    keyword whole debugfs cyan
    keyword whole delgroup cyan
    keyword whole deluser cyan
    keyword whole depmod cyan
    keyword whole devdump cyan
    keyword whole df cyan
    keyword whole dialog cyan
    keyword whole diff cyan
    keyword whole diff3 cyan
    keyword whole dir cyan
    keyword whole dircolors cyan
    keyword whole directomatic cyan
    keyword whole dirname cyan
    keyword whole dirsplit cyan
    keyword whole disable cyan
    keyword whole diskd cyan
    keyword whole diskseekd cyan
    keyword whole disown cyan
    keyword whole dmesg cyan
    keyword whole dnsdomainname cyan
    keyword whole doexec cyan
    keyword whole domainname cyan
    keyword whole dosfsck cyan
    keyword whole dotextwrap cyan
    keyword whole dotlockfile cyan
    keyword whole dpasswd cyan
    keyword whole dpkg cyan
    keyword whole dpkg-architekture cyan
    keyword whole dpkg-buildpackage cyan
    keyword whole dpkg-deb cyan
    keyword whole dpkg-name cyan
    keyword whole dpkg-query cyan
    keyword whole dpkg-reconfigure cyan
    keyword whole dpkg-scanpackages cyan
    keyword whole dpkg-scansources cyan
    keyword whole dpkg-split cyan
    keyword whole dpkg-source cyan
    keyword whole dropdb cyan
    keyword whole droplang cyan
    keyword whole dropuser cyan
    keyword whole dscverify cyan
    keyword whole du cyan
    keyword whole dumpe2fs cyan
    keyword whole dumpkeys cyan
    keyword whole dvipdf cyan
    keyword whole e2fsck cyan
    keyword whole e2image cyan
    keyword whole e2label cyan
    keyword whole echo cyan
    keyword whole ed cyan
    keyword whole edit cyan
    keyword whole editor cyan
    keyword whole egrep cyan
    keyword whole eject cyan
    keyword whole enable cyan
    keyword whole env cyan
    keyword whole envsubst cyan
    keyword whole eqn cyan
    keyword whole eval_gettext cyan
    keyword whole ex cyan
    keyword whole expand cyan
    keyword whole expiry cyan
    keyword whole expr cyan
    keyword whole factor cyan
    keyword whole fakeroot cyan
    keyword whole faillog cyan
    keyword whole false cyan
    keyword whole fbset cyan
    keyword whole fc-cache cyan
    keyword whole fc-list cyan
    keyword whole fc-match cyan
    keyword whole fdformat cyan
    keyword whole fdisk cyan
    keyword whole fdlist cyan
    keyword whole fdmount cyan
    keyword whole fdmountd cyan
    keyword whole fdrawcmd cyan
    keyword whole fdumount cyan
    keyword whole fetchmail cyan
    keyword whole fgconsole cyan
    keyword whole fgrep cyan
    keyword whole file cyan
    keyword whole filefrag cyan
    keyword whole find cyan
    keyword whole find2perl cyan
    keyword whole findfs cyan
    keyword whole fitstopnm cyan
    keyword whole floppycontrol cyan
    keyword whole floppymeter cyan
    keyword whole fmt cyan
    keyword whole fold cyan
    keyword whole font2psf cyan
    keyword whole formail cyan
    keyword whole fping cyan
    keyword whole fping6 cyan
    keyword whole free cyan
    keyword whole freeze cyan
    keyword whole fribidi cyan
    keyword whole from cyan
    keyword whole fsck cyan
    keyword whole fsck.cramfs cyan
    keyword whole fsck.ext2 cyan
    keyword whole fsck.ext3 cyan
    keyword whole fsck.minix cyan
    keyword whole fsck.msdos cyan
    keyword whole fsck.nfs cyan
    keyword whole fsck.vfat cyan
    keyword whole fsconf cyan
    keyword whole funzip cyan
    keyword whole fuser cyan
    keyword whole fvwm cyan
    keyword whole gawk cyan
    keyword whole gdb cyan
    keyword whole gdbtui cyan
    keyword whole gcc cyan
    keyword whole gcov cyan
    keyword whole genksyms cyan
    keyword whole getconf cyan
    keyword whole getent cyan
    keyword whole getfdprm cyan
    keyword whole getkeycodes cyan
    keyword whole getopt cyan
    keyword whole gettext cyan
    keyword whole gettextize cyan
    keyword whole getty cyan
    keyword whole gpasswd cyan
    keyword whole gpgsplit cyan
    keyword whole gpgv cyan
    keyword whole gprof cyan
    keyword whole grep cyan
    keyword whole groff cyan
    keyword whole groupadd cyan
    keyword whole groupdel cyan
    keyword whole groupmod cyan
    keyword whole groups cyan
    keyword whole grpck cyan
    keyword whole grpconv cyan
    keyword whole grpunconv cyan
    keyword whole gs cyan
    keyword whole gsbj cyan
    keyword whole gsdj cyan
    keyword whole gsdj500 cyan
    keyword whole gs-gpl cyan
    keyword whole gslj cyan
    keyword whole gslp cyan
    keyword whole gsmctl cyan
    keyword whole gsmpb cyan
    keyword whole gsmsendsms cyan
    keyword whole gsmsiectl cyan
    keyword whole gsmsiexfer cyan
    keyword whole gsmsmsd cyan
    keyword whole gsmsmsstore cyan
    keyword whole gsnd cyan
    keyword whole gunzip cyan
    keyword whole gzexe cyan
    keyword whole gzip cyan
    keyword whole ha cyan
    keyword whole halt cyan
    keyword whole hd cyan
    keyword whole hdparm cyan
    keyword whole hddtemp cyan
    keyword whole head cyan
    keyword whole hexdump cyan
    keyword whole hman cyan
    keyword whole host cyan
    keyword whole hostid cyan
    keyword whole hostname cyan
    keyword whole hotkeys cyan
    keyword whole html2text cyan
    keyword whole hwclock cyan
    keyword whole iconv cyan
    keyword whole iconvconfig cyan
    keyword whole id cyan
    keyword whole ifconfig cyan
    keyword whole ifdown cyan
    keyword whole ifnames cyan
    keyword whole ifup cyan
    keyword whole igawk cyan
    keyword whole info cyan
    keyword whole infobrowser cyan
    keyword whole infocmp cyan
    keyword whole infokey cyan
    keyword whole infotocap cyan
    keyword whole init cyan
    keyword whole insmod cyan
    keyword whole install cyan
    keyword whole installkernel cyan
    keyword whole install-menu cyan
    keyword whole ipcalc cyan
    keyword whole ipcs cyan
    keyword whole ipmaddr cyan
    keyword whole ippool cyan
    keyword whole iptables cyan
    keyword whole iptunnel cyan
    keyword whole isoinfo cyan
    keyword whole join cyan
    keyword whole kallsyms cyan
    keyword whole kbdconfig cyan
    keyword whole kbd_mode cyan
    keyword whole kbdrate cyan
    keyword whole klogd cyan
    keyword whole kernelversion cyan
    keyword whole kill cyan
    keyword whole killall cyan
    keyword whole ksh cyan
    keyword whole ksyms cyan
    keyword whole lame cyan
    keyword whole last cyan
    keyword whole lastb cyan
    keyword whole lastlog cyan
    keyword whole ld cyan
    keyword whole ldconfig cyan
    keyword whole ldd cyan
    keyword whole less cyan
    keyword whole lessecho cyan
    keyword whole lessfile cyan
    keyword whole lesskey cyan
    keyword whole lesspipe cyan
    keyword whole lharc cyan
    keyword whole libtool cyan
    keyword whole lilo cyan
    keyword whole line cyan
    keyword whole link cyan
    keyword whole links2 cyan
    keyword whole linux_logo cyan
    keyword whole linuxconf cyan
    keyword whole list_audio_tracks cyan
    keyword whole ln cyan
    keyword whole loadkeys cyan
    keyword whole locale cyan
    keyword whole localedef cyan
    keyword whole locale-gen cyan
    keyword whole locate cyan
    keyword whole lockfile cyan
    keyword whole logger cyan
    keyword whole login cyan
    keyword whole logname cyan
    keyword whole logrotate cyan
    keyword whole logsave cyan
    keyword whole look cyan
    keyword whole lorder cyan
    keyword whole losetup cyan
    keyword whole lp cyan
    keyword whole lpadmin cyan
    keyword whole lpc cyan
    keyword whole lpdconf cyan
    keyword whole lpdomatic cyan
    keyword whole lpinfo cyan
    keyword whole lpmove cyan
    keyword whole lpoptions cyan
    keyword whole lppasswd cyan
    keyword whole lpq cyan
    keyword whole lpr cyan
    keyword whole lprm cyan
    keyword whole lprsetup cyan
    keyword whole lpstat cyan
    keyword whole ls cyan
    keyword whole lsattr cyan
    keyword whole lsmod cyan
    keyword whole lspci cyan
    keyword whole lsusb cyan
    keyword whole lynx cyan
    keyword whole MAKEDEV cyan
    keyword whole MAKEFLOPPIES cyan
    keyword whole mail cyan
    keyword whole mailq cyan
    keyword whole mailstat cyan
    keyword whole mailx cyan
    keyword whole make cyan
    keyword whole make-kpkg cyan
    keyword whole man cyan
    keyword whole man2html cyan
    keyword whole manpath cyan
    keyword whole mawk cyan
    keyword whole mc cyan
    keyword whole mcedit cyan
    keyword whole mcookie cyan
    keyword whole mcview cyan
    keyword whole memstat cyan
    keyword whole mergechanges cyan
    keyword whole mesg cyan
    keyword whole mev cyan
    keyword whole mkboot cyan
    keyword whole mkfifo cyan
    keyword whole mkhybrid cyan
    keyword whole mkisofs cyan
    keyword whole mk_modmap cyan
    keyword whole mkpasswd cyan
    keyword whole mii-tool cyan
    keyword whole mimedecode cyan
    keyword whole mkcramfs cyan
    keyword whole mkdir cyan
    keyword whole mkdirhier cyan
    keyword whole mkfs cyan
    keyword whole mkfs.cramfs cyan
    keyword whole mkfs.ext2 cyan
    keyword whole mkfs.ext3 cyan
    keyword whole mkfs.minix cyan
    keyword whole mkfs.msdos cyan
    keyword whole mkfs.vfat cyan
    keyword whole mke2fs cyan
    keyword whole mkdosfs cyan
    keyword whole mkinitrd cyan
    keyword whole mknod cyan
    keyword whole mkrescue cyan
    keyword whole mkswap cyan
    keyword whole mktemp cyan
    keyword whole modconf cyan
    keyword whole modinfo cyan
    keyword whole modprobe cyan
    keyword whole more cyan
    keyword whole mount cyan
    keyword whole mountpoint cyan
    keyword whole mozilla cyan
    keyword whole mp3info cyan
    keyword whole mpexpand cyan
    keyword whole munpack cyan
    keyword whole msgattrib cyan
    keyword whole msgcat cyan
    keyword whole msgcmp cyan
    keyword whole msgcomm cyan
    keyword whole msgconv cyan
    keyword whole msgen cyan
    keyword whole msgexec cyan
    keyword whole msgfilter cyan
    keyword whole msgfmt cyan
    keyword whole msggrep cyan
    keyword whole msginit cyan
    keyword whole msgmerge cyan
    keyword whole msgunfmt cyan
    keyword whole msguniq cyan
    keyword whole msmtp cyan
    keyword whole mt cyan
    keyword whole mt-gnu cyan
    keyword whole mtrace cyan
    keyword whole mute cyan
    keyword whole mutt cyan
    keyword whole mv cyan
    keyword whole nameif cyan
    keyword whole namei cyan
    keyword whole nano cyan
    keyword whole nawk cyan
    keyword whole ncal cyan
    keyword whole neqn cyan
    keyword whole netconf cyan
    keyword whole netstat cyan
    keyword whole newaliases cyan
    keyword whole newgrp cyan
    keyword whole newusers cyan
    keyword whole nex cyan
    keyword whole nfsstat cyan
    keyword whole ngettext cyan
    keyword whole nice cyan
    keyword whole nisdomainname cyan
    keyword whole nl cyan
    keyword whole nm cyan
    keyword whole nohup cyan
    keyword whole nroff cyan
    keyword whole nsmod cyan
    keyword whole nvi cyan
    keyword whole nview cyan
    keyword whole objcopy cyan
    keyword whole objdump cyan
    keyword whole od cyan
    keyword whole ogg123 cyan
    keyword whole oggdec cyan
    keyword whole oggenc cyan
    keyword whole ogginfo cyan
    keyword whole open cyan
    keyword whole openvt cyan
    keyword whole pager cyan
    keyword whole pam_getenv cyan
    keyword whole paperconf cyan
    keyword whole par cyan
    keyword whole passwd cyan
    keyword whole paste cyan
    keyword whole patch cyan
    keyword whole pathchk cyan
    keyword whole pcimodules cyan
    keyword whole pcretest cyan
    keyword whole pdfinfo cyan
    keyword whole perl cyan
    keyword whole perldoc cyan
    keyword whole pg cyan
    keyword whole pgrep cyan
    keyword whole pic cyan
    keyword whole pico cyan
    keyword whole piconv cyan
    keyword whole pidof cyan
    keyword whole pinfo cyan
    keyword whole ping cyan
    keyword whole pkill cyan
    keyword whole pmap cyan
    keyword whole portmap cyan
    keyword whole poweroff cyan
    keyword whole pr cyan
    keyword whole print cyan
    keyword whole printenv cyan
    keyword whole printf cyan
    keyword whole procmail cyan
    keyword whole prove cyan
    keyword whole ps cyan
    keyword whole psed cyan
    keyword whole psql cyan
    keyword whole pstree cyan
    keyword whole pstruct cyan
    keyword whole ptx cyan
    keyword whole pwconv cyan
    keyword whole pwck cyan
    keyword whole pwd cyan
    keyword whole pwunconv cyan
    keyword whole ramsize cyan
    keyword whole ranlib cyan
    keyword whole rar cyan
    keyword whole rarp cyan
    keyword whole raw cyan
    keyword whole rbash cyan
    keyword whole rcp cyan
    keyword whole rdev cyan
    keyword whole readcd cyan
    keyword whole readelf cyan
    keyword whole readlink cyan
    keyword whole readprofile cyan
    keyword whole reboot cyan
    keyword whole red cyan
    keyword whole regcomp cyan
    keyword whole reject cyan
    keyword whole remadmin cyan
    keyword whole remove-shell cyan
    keyword whole rename cyan
    keyword whole renice cyan
    keyword whole reset cyan
    keyword whole resize2fs cyan
    keyword whole rev cyan
    keyword whole revpath cyan
    keyword whole rgrep cyan
    keyword whole rlogin cyan
    keyword whole rm cyan
    keyword whole rmdir cyan
    keyword whole rmmod cyan
    keyword whole rmt cyan
    keyword whole route cyan
    keyword whole rpcgen cyan
    keyword whole rpcinfo cyan
    keyword whole rplay cyan
    keyword whole rplayd cyan
    keyword whole rplaydsp cyan
    keyword whole rpm cyan
    keyword whole rpm2cpio cyan
    keyword whole rptp cyan
    keyword whole rsh cyan
    keyword whole rstartd cyan
    keyword whole runlevel cyan
    keyword whole run-mailcap cyan
    keyword whole run-parts cyan
    keyword whole savelog cyan
    keyword whole scanimage cyan
    keyword whole scanpci cyan
    keyword whole screendump cyan
    keyword whole script cyan
    keyword whole scriptreplay cyan
    keyword whole sdiff cyan
    keyword whole sed cyan
    keyword whole see cyan
    keyword whole sendmail cyan
    keyword whole seq cyan
    keyword whole set cyan
    keyword whole setfdprm cyan
    keyword whole setkeycodes cyan
    keyword whole setleds cyan
    keyword whole setlogcons cyan
    keyword whole setmetamode cyan
    keyword whole setpci cyan
    keyword whole setserial cyan
    keyword whole setsid cyan
    keyword whole setterm cyan
    keyword whole setvesablank cyan
    keyword whole setxkbmap cyan
    keyword whole sfdisk cyan
    keyword whole sftp cyan
    keyword whole sg cyan
    keyword whole sh cyan
    keyword whole sha1sum cyan
    keyword whole shadowconfig cyan
    keyword whole showcfont cyan
    keyword whole showkey cyan
    keyword whole showmount cyan
    keyword whole shred cyan
    keyword whole shutdown cyan
    keyword whole size cyan
    keyword whole skill cyan
    keyword whole slabtop cyan
    keyword whole slattach cyan
    keyword whole sleep cyan
    keyword whole slogin cyan
    keyword whole smime_keys cyan
    keyword whole snice cyan
    keyword whole soelim cyan
    keyword whole sort cyan
    keyword whole sa-learn cyan
    keyword whole spamassassin cyan
    keyword whole spamc cyan
    keyword whole spamd cyan
    keyword whole splain cyan
    keyword whole split cyan
    keyword whole ssh-add cyan
    keyword whole ssh-copy-id cyan
    keyword whole ssh-keygen cyan
    keyword whole ssh-keyscan cyan
    keyword whole ssmtp cyan
    keyword whole start-stop-daemon cyan
    keyword whole stat cyan
    keyword whole strace cyan
    keyword whole strings cyan
    keyword whole strip cyan
    keyword whole stty cyan
    keyword whole su cyan
    keyword whole sulogin cyan
    keyword whole sum cyan
    keyword whole superformat cyan
    keyword whole su-to-root cyan
    keyword whole svlc cyan
    keyword whole swapoff cyan
    keyword whole swapon cyan
    keyword whole sync cyan
    keyword whole sysctl cyan
    keyword whole syslogd cyan
    keyword whole syslogd-listfiles cyan
    keyword whole syslog-facility cyan
    keyword whole tac cyan
    keyword whole tack cyan
    keyword whole tail cyan
    keyword whole taper cyan
    keyword whole tar cyan
    keyword whole tbl cyan
    keyword whole tcpdchk cyan
    keyword whole tcpdump cyan
    keyword whole tclsh cyan
    keyword whole tcsh cyan
    keyword whole tdbox cyan
    keyword whole tdcheckserver cyan
    keyword whole tddebidate cyan
    keyword whole tdfileview cyan
    keyword whole tdfmlogstrip cyan
    keyword whole tdformail cyan
    keyword whole tdlinuxcounter cyan
    keyword whole tdlinuxlogo cyan
    keyword whole tdmailblacklist cyan
    keyword whole tdmailbody cyan
    keyword whole tdmailcopy cyan
    keyword whole tdmaildirmake cyan
    keyword whole tdmailsubject cyan
    keyword whole tdmailwhitelist cyan
    keyword whole tdnewmsg cyan
    keyword whole tdsysbackup cyan
    keyword whole tee cyan
    keyword whole telinit cyan
    keyword whole telnet cyan
    keyword whole test cyan
    keyword whole tempfile cyan
    keyword whole tic cyan
    keyword whole time cyan
    keyword whole tload cyan
    keyword whole tnef cyan
    keyword whole toe cyan
    keyword whole toolame cyan
    keyword whole top cyan
    keyword whole touch cyan
    keyword whole tput cyan
    keyword whole tr cyan
    keyword whole troff cyan
    keyword whole true cyan
    keyword whole tset cyan
    keyword whole tsort cyan
    keyword whole tty cyan
    keyword whole tunctl cyan
    keyword whole tune2fs cyan
    keyword whole tunelp cyan
    keyword whole tzconfig cyan
    keyword whole tzselect cyan
    keyword whole tzsetup cyan
    keyword whole ucf cyan
    keyword whole ul cyan
    keyword whole umount cyan
    keyword whole uname cyan
    keyword whole unarj cyan
    keyword whole uncompress cyan
    keyword whole unexpand cyan
    keyword whole unicode_start cyan
    keyword whole unicode_stop cyan
    keyword whole unix_chkpwd cyan
    keyword whole uniq cyan
    keyword whole unlink cyan
    keyword whole unzip cyan
    keyword whole unzipsfx cyan
    keyword whole updatedb cyan
    keyword whole update-menus cyan
    keyword whole update-modules cyan
    keyword whole update-pciids cyan
    keyword whole uprecords cyan
    keyword whole uptime cyan
    keyword whole urlview cyan
    keyword whole usbmodules cyan
    keyword whole uscan cyan
    keyword whole useradd cyan
    keyword whole userconf cyan
    keyword whole userdel cyan
    keyword whole usermod cyan
    keyword whole users cyan
    keyword whole usleep cyan
    keyword whole uufilter cyan
    keyword whole uuidgen cyan
    keyword whole vacuumdb cyan
    keyword whole validlocale cyan
    keyword whole vcstime cyan
    keyword whole vcut cyan
    keyword whole vdir cyan
    keyword whole vi cyan
    keyword whole vidmode cyan
    keyword whole view cyan
    keyword whole vigr cyan
    keyword whole vim cyan
    keyword whole vipw cyan
    keyword whole vlc cyan
    keyword whole vmstat cyan
    keyword whole volname cyan
    keyword whole vorbiscomment cyan
    keyword whole vt-is-UTF8 cyan
    keyword whole w cyan
    keyword whole wall cyan
    keyword whole watch cyan
    keyword whole wc cyan
    keyword whole wget cyan
    keyword whole whatis cyan
    keyword whole whereis cyan
    keyword whole which cyan
    keyword whole whiptail cyan
    keyword whole who cyan
    keyword whole whoami cyan
    keyword whole whois cyan
    keyword whole wish cyan
    keyword whole write cyan
    keyword whole writevt cyan
    keyword whole wvWare cyan
    keyword whole wxvlc cyan
    keyword whole xargs cyan
    keyword whole xbmbrowser cyan
    keyword whole xbindkeys cyan
    keyword whole xconf cyan
    keyword whole xdfcopy cyan
    keyword whole xdfformat cyan
    keyword whole Xdialog cyan
    keyword whole xgettext cyan
    keyword whole xloadimage cyan
    keyword whole xmessage cyan
    keyword whole xmodmap cyan
    keyword whole xmms cyan
    keyword whole xpmroot cyan
    keyword whole xrdb cyan
    keyword whole xset cyan
    keyword whole xsetbg cyan
    keyword whole xsetmode cyan
    keyword whole xsetpointer cyan
    keyword whole xsetroot cyan
    keyword whole xterm cyan
    keyword whole xview cyan
    keyword whole xwd cyan
    keyword whole xwud cyan
    keyword whole xzgv cyan
    keyword whole yes cyan
    keyword whole ypdomainname cyan
    keyword whole yydecode cyan
    keyword whole zcat cyan
    keyword whole zcmp cyan
    keyword whole zdiff cyan
    keyword whole zdump cyan
    keyword whole zegrep cyan
    keyword whole zfgrep cyan
    keyword whole zforce cyan
    keyword whole zgrep cyan
    keyword whole zgv cyan
    keyword whole zic cyan
    keyword whole zip cyan
    keyword whole zipcloak cyan
    keyword whole zipgrep cyan
    keyword whole zipinfo cyan
    keyword whole zipnote cyan
    keyword whole zipsplit cyan
    keyword whole zless cyan
    keyword whole zmore cyan
    keyword whole znew cyan
    keyword whole zoo cyan
    keyword whole zsh cyan
    keyword whole zsoelim cyan
    keyword whole zxpdf cyan

    keyword whole pamcut cyan
    keyword whole pamdeinterlace cyan
    keyword whole pamdice cyan
    keyword whole pamfile cyan
    keyword whole pamoil cyan
    keyword whole pamstack cyan
    keyword whole pamstretch cyan
    keyword whole pamstretch-gen cyan

    keyword whole pbmclean cyan
    keyword whole pbmlife cyan
    keyword whole pbmmake cyan
    keyword whole pbmmask cyan
    keyword whole pbmpage cyan
    keyword whole pbmpscale cyan
    keyword whole pbmreduce cyan
    keyword whole pbmtext cyan
    keyword whole pbmtextps cyan
    keyword whole pbmupc cyan

    keyword whole pbmtoascii cyan
    keyword whole pbmtoepsi cyan
    keyword whole pbmtog3 cyan
    keyword whole pbmtoicon cyan
    keyword whole pbmtolj cyan
    keyword whole pbmtomacp cyan
    keyword whole pbmtonokia cyan
    keyword whole pbmtopgm cyan
    keyword whole pbmtoplot cyan
    keyword whole pbmtoppa cyan
    keyword whole pbmtopsg3 cyan
    keyword whole pbmtowbmp cyan
    keyword whole pbmtoxbm cyan

    keyword whole g3topbm cyan
    keyword whole thinkjettopbm cyan
    keyword whole wbmptopbm cyan
    keyword whole xbmtopbm cyan

    keyword whole pgmbentley cyan
    keyword whole pgmcrater cyan
    keyword whole pgmedge cyan
    keyword whole pgmenhance cyan
    keyword whole pgmhist cyan
    keyword whole pgmkernel cyan
    keyword whole pgmnoise cyan
    keyword whole pgmnorm cyan
    keyword whole pgmoil cyan
    keyword whole pgmramp cyan
    keyword whole pgmslice cyan
    keyword whole pgmtexture cyan

    keyword whole pgmtopbm cyan
    keyword whole pgmtoppm cyan

    keyword whole asciitopgm cyan
    keyword whole bioradtopgm cyan
    keyword whole icontopgm cyan
    keyword whole jpegtopgm cyan
    keyword whole macptopgm cyan
    keyword whole rawtopgm cyan

    keyword whole pnmalias cyan
    keyword whole pnmarith cyan
    keyword whole pnmcat cyan
    keyword whole pnmcolormap cyan
    keyword whole pnmcomp cyan
    keyword whole pnmconvol cyan
    keyword whole pnmcrop cyan
    keyword whole pnmcut cyan
    keyword whole pnmdepth cyan
    keyword whole pnmenlarge cyan
    keyword whole pnmfile cyan
    keyword whole pnmflip cyan
    keyword whole pnmgamma cyan
    keyword whole pnmhisteq cyan
    keyword whole pnmhistmap cyan
    keyword whole pnmindex cyan
    keyword whole pnminterp cyan
    keyword whole pnminterp-gen cyan
    keyword whole pnminvert cyan
    keyword whole pnmmargin cyan
    keyword whole pnmmontage cyan
    keyword whole pnmnlfilt cyan
    keyword whole pnmnoraw cyan
    keyword whole pnmnorm cyan
    keyword whole pnmpad cyan
    keyword whole pnmpaste cyan
    keyword whole pnmpsnr cyan
    keyword whole pnmquant cyan
    keyword whole pnmremap cyan
    keyword whole pnmrotate cyan
    keyword whole pnmscale cyan
    keyword whole pnmscalefixed cyan
    keyword whole pnmshear cyan
    keyword whole pnmsmooth cyan
    keyword whole pnmsplit cyan
    keyword whole pnmtile cyan

    keyword whole pnmtofits cyan
    keyword whole pnmtojpeg cyan
    keyword whole pnmtopalm cyan
    keyword whole pnmtoplainpnm cyan
    keyword whole pnmtopng cyan
    keyword whole pnmtops cyan
    keyword whole pnmtorle cyan
    keyword whole pnmtotiff cyan
    keyword whole pnmtoxwd cyan

    keyword whole bpmtopnm cyan
    keyword whole giftopnm cyan
    keyword whole palmtopnm cyan
    keyword whole pngtopnm cyan
    keyword whole pstopnm cyan
    keyword whole rletopnm cyan
    keyword whole tifftopnm cyan
    keyword whole xwdtopnm cyan

    keyword whole ppm3d cyan
    keyword whole ppmbrighten cyan
    keyword whole ppmchange cyan
    keyword whole ppmcie cyan
    keyword whole ppmcolormask cyan
    keyword whole ppmcolors cyan
    keyword whole ppmdim cyan
    keyword whole ppmdist cyan
    keyword whole ppmdither cyan
    keyword whole ppmfade cyan
    keyword whole ppmflash cyan
    keyword whole ppmforge cyan
    keyword whole ppmhist cyan
    keyword whole ppmlabel cyan
    keyword whole ppmmake cyan
    keyword whole ppmmix cyan
    keyword whole ppmnorm cyan
    keyword whole ppmntsc cyan
    keyword whole ppmpat cyan
    keyword whole ppmquant cyan
    keyword whole ppmquantall cyan
    keyword whole ppmqvgq cyan
    keyword whole ppmrainbow cyan
    keyword whole ppmrelief cyan
    keyword whole ppmshadow cyan
    keyword whole ppmshift cyan
    keyword whole ppmspread cyan
    keyword whole ppmtv cyan

    keyword whole ppmtoacad cyan
    keyword whole ppmtobmp cyan
    keyword whole ppmtogif cyan
    keyword whole ppmtojpeg cyan
    keyword whole ppmtolj cyan
    keyword whole ppmtomap cyan
    keyword whole ppmtompeg cyan
    keyword whole ppmtopcx cyan
    keyword whole ppmtopgm cyan
    keyword whole ppmtorgb3 cyan
    keyword whole ppmtowinicon cyan
    keyword whole ppmtoxpm cyan

    keyword whole bpmtoppm cyan
    keyword whole pcxtoppm cyan
    keyword whole pdftoppm cyan
    keyword whole pjtoppm cyan
    keyword whole rawtoppm cyan
    keyword whole rgb3toppm cyan
    keyword whole winicontoppm cyan
    keyword whole xpmtoppm cyan
    keyword whole xvminitoppm cyan

    keyword whole gpg red
    keyword whole md5sum red
    keyword whole openssl red
    keyword whole ssh red
    keyword whole scp red

    keyword whole gettext.sh magenta
    keyword whole TEXTDOMAINDIR magenta
    keyword whole TEXTDOMAIN magenta
    keyword whole VERSION magenta

    keyword whole /dev/audio brightblue
    keyword whole /dev/dsp brightblue
    keyword whole /dev/null brightblue
    keyword whole /dev/mixed brightblue
    keyword whole /dev/stdin brightblue
    keyword whole /dev/stdout brightblue
    keyword whole /dev/stderr brightblue
    keyword whole /dev/zero brightblue

wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789_

context # \n brown
    spellcheck

context exclusive whole <<\[\s\]\[-\]\[\s\]\[\\\]EOF EOF green
context exclusive whole <<\[\s\]\[-\]\[\s\]\[\\\]END END green

context ' ' green

context " " green
    keyword \\* brightgreen
    keyword \\@ brightgreen
    keyword \\$ brightgreen
    keyword \\\\ brightgreen
    keyword \\` brightgreen
    keyword \\" brightgreen
    keyword $(*) brightgreen
    keyword ${*} brightgreen
    keyword $\* brightred
    keyword $@ brightred
    keyword $# brightred
    keyword $? brightred
    keyword $- brightred
    keyword $$ brightred
    keyword $! brightred
    keyword $_ brightred
    keyword wholeright $\[0123456789\] brightred

    keyword wholeright $+ brightgreen

    keyword $ brightgreen

context exclusive ` ` lightgray black
    keyword '*' green
    keyword " green
    keyword \\` green
    keyword ; brightcyan
    keyword $(*) brightgreen
    keyword ${*} brightgreen
    keyword { brightcyan
    keyword } brightcyan

    keyword $\* brightred
    keyword $@ brightred
    keyword $# brightred
    keyword $? brightred
    keyword $- brightred
    keyword $$ brightred
    keyword $! brightred
    keyword $_ brightred

    keyword whole 2>&1 brightred
    keyword whole 2> brightred
    keyword whole 1> brightred

    keyword wholeright $\[0123456789\] brightred

    keyword wholeright $+ brightgreen

    keyword $ brightgreen

wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789-._

    keyword whole break yellow
    keyword whole case yellow
    keyword whole clear yellow
    keyword whole continue yellow
    keyword whole declare yellow
    keyword whole done yellow
    keyword whole do yellow
    keyword whole elif yellow
    keyword whole else yellow
    keyword whole esac yellow
    keyword whole exit yellow
    keyword whole export yellow
    keyword whole fi yellow
    keyword whole for yellow
    keyword whole getopts yellow
    keyword whole if yellow
    keyword whole in yellow
    keyword whole read yellow
    keyword whole return yellow
    keyword whole select yellow
    keyword whole shift yellow
    keyword whole source yellow
    keyword whole then yellow
    keyword whole trap yellow
    keyword whole until yellow
    keyword whole unset yellow
    keyword whole wait yellow
    keyword whole while yellow

    keyword whole accept cyan
    keyword whole accessdb cyan
    keyword whole activate cyan
    keyword whole addgroup cyan
    keyword whole add-shell cyan
    keyword whole adduser cyan
    keyword whole anytopnm cyan
    keyword whole apropos cyan
    keyword whole apt-cache cyan
    keyword whole apt-cdrom cyan
    keyword whole apt-config cyan
    keyword whole apt-file cyan
    keyword whole apt-ftparchive cyan
    keyword whole apt-get cyan
    keyword whole aptitude cyan
    keyword whole apt-sortpkgs cyan
    keyword whole apt-source cyan
    keyword whole ar cyan
    keyword whole arch cyan
    keyword whole arp cyan
    keyword whole as cyan
    keyword whole ash cyan
    keyword whole at cyan
    keyword whole atd cyan
    keyword whole atrun cyan
    keyword whole atq cyan
    keyword whole autoconf cyan
    keyword whole autoheader cyan
    keyword whole automake cyan
    keyword whole autopoint cyan
    keyword whole autoscan cyan
    keyword whole awk cyan
    keyword whole badblocks cyan
    keyword whole base64-decode cyan
    keyword whole base64-encode cyan
    keyword whole basename cyan
    keyword whole bash cyan
    keyword whole batch cyan
    keyword whole bc cyan
    keyword whole bg_backup cyan
    keyword whole bg_restore cyan
    keyword whole blockdev cyan
    keyword whole bootlogd cyan
    keyword whole bsd-write cyan
    keyword whole bsh cyan
    keyword whole bunzip2 cyan
    keyword whole bzcat cyan
    keyword whole bzcmp cyan
    keyword whole bzdiff cyan
    keyword whole bzegrep cyan
    keyword whole bzexe cyan
    keyword whole bzfgrep cyan
    keyword whole bzgrep cyan
    keyword whole bzip cyan
    keyword whole bzip2 cyan
    keyword whole bzip2recover cyan
    keyword whole bzless cyan
    keyword whole bzmore cyan
    keyword whole cabextract cyan
    keyword whole cal cyan
    keyword whole calendar cyan
    keyword whole cam cyan
    keyword whole cancel cyan
    keyword whole captoinfo cyan
    keyword whole cat cyan
    keyword whole catchsegv cyan
    keyword whole catman cyan
    keyword whole cd cyan
    keyword whole cdda2ogg cyan
    keyword whole cdda2mp3 cyan
    keyword whole cdda2wav cyan
    keyword whole cdrecord cyan
    keyword whole cfdisk cyan
    keyword whole chage cyan
    keyword whole charset cyan
    keyword whole chattr cyan
    keyword whole checkbashisms cyan
    keyword whole chfn cyan
    keyword whole chgrp cyan
    keyword whole chkdupexe cyan
    keyword whole chmod cyan
    keyword whole chown cyan
    keyword whole chpasswd cyan
    keyword whole chroot cyan
    keyword whole chsh cyan
    keyword whole chvt cyan
    keyword whole cksum cyan
    keyword whole clear cyan
    keyword whole cmp cyan
    keyword whole codepage cyan
    keyword whole col cyan
    keyword whole colcrt cyan
    keyword whole colrm cyan
    keyword whole column cyan
    keyword whole comm cyan
    keyword whole compose cyan
    keyword whole compress cyan
    keyword whole consolechars cyan
    keyword whole cp cyan
    keyword whole cpan cyan
    keyword whole cpgr cyan
    keyword whole cpio cyan
    keyword whole cpp cyan
    keyword whole cramfsck cyan
    keyword whole createdb cyan
    keyword whole createlang cyan
    keyword whole createuser cyan
    keyword whole c_rehash cyan
    keyword whole crontab cyan
    keyword whole csh cyan
    keyword whole csplit cyan
    keyword whole cupsaccept cyan
    keyword whole cupsaddsmb cyan
    keyword whole cupsd cyan
    keyword whole cupsreject cyan
    keyword whole curl cyan
    keyword whole cut cyan
    keyword whole date cyan
    keyword whole dash cyan
    keyword whole dch cyan
    keyword whole dd cyan
    keyword whole deallocvt cyan
    keyword whole debugfs cyan
    keyword whole delgroup cyan
    keyword whole deluser cyan
    keyword whole depmod cyan
    keyword whole devdump cyan
    keyword whole df cyan
    keyword whole dialog cyan
    keyword whole diff cyan
    keyword whole diff3 cyan
    keyword whole dir cyan
    keyword whole dircolors cyan
    keyword whole directomatic cyan
    keyword whole dirname cyan
    keyword whole dirsplit cyan
    keyword whole disable cyan
    keyword whole diskd cyan
    keyword whole diskseekd cyan
    keyword whole disown cyan
    keyword whole dmesg cyan
    keyword whole dnsdomainname cyan
    keyword whole doexec cyan
    keyword whole domainname cyan
    keyword whole dosfsck cyan
    keyword whole dotextwrap cyan
    keyword whole dotlockfile cyan
    keyword whole dpasswd cyan
    keyword whole dpkg cyan
    keyword whole dpkg-architekture cyan
    keyword whole dpkg-buildpackage cyan
    keyword whole dpkg-deb cyan
    keyword whole dpkg-name cyan
    keyword whole dpkg-query cyan
    keyword whole dpkg-reconfigure cyan
    keyword whole dpkg-scanpackages cyan
    keyword whole dpkg-scansources cyan
    keyword whole dpkg-split cyan
    keyword whole dpkg-source cyan
    keyword whole dropdb cyan
    keyword whole droplang cyan
    keyword whole dropuser cyan
    keyword whole dscverify cyan
    keyword whole du cyan
    keyword whole dumpe2fs cyan
    keyword whole dumpkeys cyan
    keyword whole dvipdf cyan
    keyword whole e2fsck cyan
    keyword whole e2image cyan
    keyword whole e2label cyan
    keyword whole echo cyan
    keyword whole ed cyan
    keyword whole edit cyan
    keyword whole editor cyan
    keyword whole egrep cyan
    keyword whole eject cyan
    keyword whole enable cyan
    keyword whole env cyan
    keyword whole envsubst cyan
    keyword whole eqn cyan
    keyword whole eval_gettext cyan
    keyword whole ex cyan
    keyword whole expand cyan
    keyword whole expiry cyan
    keyword whole expr cyan
    keyword whole factor cyan
    keyword whole fakeroot cyan
    keyword whole faillog cyan
    keyword whole false cyan
    keyword whole fbset cyan
    keyword whole fc-cache cyan
    keyword whole fc-list cyan
    keyword whole fc-match cyan
    keyword whole fdformat cyan
    keyword whole fdisk cyan
    keyword whole fdlist cyan
    keyword whole fdmount cyan
    keyword whole fdmountd cyan
    keyword whole fdrawcmd cyan
    keyword whole fdumount cyan
    keyword whole fetchmail cyan
    keyword whole fgconsole cyan
    keyword whole fgrep cyan
    keyword whole file cyan
    keyword whole filefrag cyan
    keyword whole find cyan
    keyword whole find2perl cyan
    keyword whole findfs cyan
    keyword whole fitstopnm cyan
    keyword whole floppycontrol cyan
    keyword whole floppymeter cyan
    keyword whole fmt cyan
    keyword whole fold cyan
    keyword whole font2psf cyan
    keyword whole formail cyan
    keyword whole fping cyan
    keyword whole fping6 cyan
    keyword whole free cyan
    keyword whole freeze cyan
    keyword whole fribidi cyan
    keyword whole from cyan
    keyword whole fsck cyan
    keyword whole fsck.cramfs cyan
    keyword whole fsck.ext2 cyan
    keyword whole fsck.ext3 cyan
    keyword whole fsck.minix cyan
    keyword whole fsck.msdos cyan
    keyword whole fsck.nfs cyan
    keyword whole fsck.vfat cyan
    keyword whole fsconf cyan
    keyword whole funzip cyan
    keyword whole fuser cyan
    keyword whole fvwm cyan
    keyword whole gawk cyan
    keyword whole gdb cyan
    keyword whole gdbtui cyan
    keyword whole gcc cyan
    keyword whole gcov cyan
    keyword whole genksyms cyan
    keyword whole getconf cyan
    keyword whole getent cyan
    keyword whole getfdprm cyan
    keyword whole getkeycodes cyan
    keyword whole getopt cyan
    keyword whole gettext cyan
    keyword whole gettextize cyan
    keyword whole getty cyan
    keyword whole gpasswd cyan
    keyword whole gpgsplit cyan
    keyword whole gpgv cyan
    keyword whole gprof cyan
    keyword whole grep cyan
    keyword whole groff cyan
    keyword whole groupadd cyan
    keyword whole groupdel cyan
    keyword whole groupmod cyan
    keyword whole groups cyan
    keyword whole grpck cyan
    keyword whole grpconv cyan
    keyword whole grpunconv cyan
    keyword whole gs cyan
    keyword whole gsbj cyan
    keyword whole gsdj cyan
    keyword whole gsdj500 cyan
    keyword whole gs-gpl cyan
    keyword whole gslj cyan
    keyword whole gslp cyan
    keyword whole gsmctl cyan
    keyword whole gsmpb cyan
    keyword whole gsmsendsms cyan
    keyword whole gsmsiectl cyan
    keyword whole gsmsiexfer cyan
    keyword whole gsmsmsd cyan
    keyword whole gsmsmsstore cyan
    keyword whole gsnd cyan
    keyword whole gunzip cyan
    keyword whole gzexe cyan
    keyword whole gzip cyan
    keyword whole ha cyan
    keyword whole halt cyan
    keyword whole hd cyan
    keyword whole hdparm cyan
    keyword whole hddtemp cyan
    keyword whole head cyan
    keyword whole hexdump cyan
    keyword whole hman cyan
    keyword whole host cyan
    keyword whole hostid cyan
    keyword whole hostname cyan
    keyword whole hotkeys cyan
    keyword whole html2text cyan
    keyword whole hwclock cyan
    keyword whole iconv cyan
    keyword whole iconvconfig cyan
    keyword whole id cyan
    keyword whole ifconfig cyan
    keyword whole ifdown cyan
    keyword whole ifnames cyan
    keyword whole ifup cyan
    keyword whole igawk cyan
    keyword whole info cyan
    keyword whole infobrowser cyan
    keyword whole infocmp cyan
    keyword whole infokey cyan
    keyword whole infotocap cyan
    keyword whole init cyan
    keyword whole insmod cyan
    keyword whole install cyan
    keyword whole installkernel cyan
    keyword whole install-menu cyan
    keyword whole ipcalc cyan
    keyword whole ipcs cyan
    keyword whole ipmaddr cyan
    keyword whole ippool cyan
    keyword whole iptables cyan
    keyword whole iptunnel cyan
    keyword whole isoinfo cyan
    keyword whole join cyan
    keyword whole kallsyms cyan
    keyword whole kbdconfig cyan
    keyword whole kbd_mode cyan
    keyword whole kbdrate cyan
    keyword whole klogd cyan
    keyword whole kernelversion cyan
    keyword whole kill cyan
    keyword whole killall cyan
    keyword whole ksh cyan
    keyword whole ksyms cyan
    keyword whole lame cyan
    keyword whole last cyan
    keyword whole lastb cyan
    keyword whole lastlog cyan
    keyword whole ld cyan
    keyword whole ldconfig cyan
    keyword whole ldd cyan
    keyword whole less cyan
    keyword whole lessecho cyan
    keyword whole lessfile cyan
    keyword whole lesskey cyan
    keyword whole lesspipe cyan
    keyword whole lharc cyan
    keyword whole libtool cyan
    keyword whole lilo cyan
    keyword whole line cyan
    keyword whole link cyan
    keyword whole links2 cyan
    keyword whole linux_logo cyan
    keyword whole linuxconf cyan
    keyword whole list_audio_tracks cyan
    keyword whole ln cyan
    keyword whole loadkeys cyan
    keyword whole locale cyan
    keyword whole localedef cyan
    keyword whole locale-gen cyan
    keyword whole locate cyan
    keyword whole lockfile cyan
    keyword whole logger cyan
    keyword whole login cyan
    keyword whole logname cyan
    keyword whole logrotate cyan
    keyword whole logsave cyan
    keyword whole look cyan
    keyword whole lorder cyan
    keyword whole losetup cyan
    keyword whole lp cyan
    keyword whole lpadmin cyan
    keyword whole lpc cyan
    keyword whole lpdconf cyan
    keyword whole lpdomatic cyan
    keyword whole lpinfo cyan
    keyword whole lpmove cyan
    keyword whole lpoptions cyan
    keyword whole lppasswd cyan
    keyword whole lpq cyan
    keyword whole lpr cyan
    keyword whole lprm cyan
    keyword whole lprsetup cyan
    keyword whole lpstat cyan
    keyword whole ls cyan
    keyword whole lsattr cyan
    keyword whole lsmod cyan
    keyword whole lspci cyan
    keyword whole lsusb cyan
    keyword whole lzip cyan
    keyword whole lziprecover cyan
    keyword whole lynx cyan
    keyword whole MAKEDEV cyan
    keyword whole MAKEFLOPPIES cyan
    keyword whole mail cyan
    keyword whole mailq cyan
    keyword whole mailstat cyan
    keyword whole mailx cyan
    keyword whole make cyan
    keyword whole make-kpkg cyan
    keyword whole man cyan
    keyword whole man2html cyan
    keyword whole manpath cyan
    keyword whole mawk cyan
    keyword whole mc cyan
    keyword whole mcedit cyan
    keyword whole mcookie cyan
    keyword whole mcview cyan
    keyword whole mcmfmt cyan
    keyword whole memstat cyan
    keyword whole mergechanges cyan
    keyword whole mesg cyan
    keyword whole mev cyan
    keyword whole mkboot cyan
    keyword whole mkfifo cyan
    keyword whole mkhybrid cyan
    keyword whole mkisofs cyan
    keyword whole mk_modmap cyan
    keyword whole mkpasswd cyan
    keyword whole mii-tool cyan
    keyword whole mimedecode cyan
    keyword whole mkcramfs cyan
    keyword whole mkdir cyan
    keyword whole mkdirhier cyan
    keyword whole mkfs cyan
    keyword whole mkfs.cramfs cyan
    keyword whole mkfs.ext2 cyan
    keyword whole mkfs.ext3 cyan
    keyword whole mkfs.minix cyan
    keyword whole mkfs.msdos cyan
    keyword whole mkfs.vfat cyan
    keyword whole mke2fs cyan
    keyword whole mkdosfs cyan
    keyword whole mkinitrd cyan
    keyword whole mknod cyan
    keyword whole mkrescue cyan
    keyword whole mkswap cyan
    keyword whole mktemp cyan
    keyword whole modconf cyan
    keyword whole modinfo cyan
    keyword whole modprobe cyan
    keyword whole more cyan
    keyword whole mount cyan
    keyword whole mountpoint cyan
    keyword whole mozilla cyan
    keyword whole mp3info cyan
    keyword whole mpexpand cyan
    keyword whole munpack cyan
    keyword whole msgattrib cyan
    keyword whole msgcat cyan
    keyword whole msgcmp cyan
    keyword whole msgcomm cyan
    keyword whole msgconv cyan
    keyword whole msgen cyan
    keyword whole msgexec cyan
    keyword whole msgfilter cyan
    keyword whole msgfmt cyan
    keyword whole msggrep cyan
    keyword whole msginit cyan
    keyword whole msgmerge cyan
    keyword whole msgunfmt cyan
    keyword whole msguniq cyan
    keyword whole msmtp cyan
    keyword whole mt cyan
    keyword whole mt-gnu cyan
    keyword whole mtrace cyan
    keyword whole mute cyan
    keyword whole mutt cyan
    keyword whole mv cyan
    keyword whole nameif cyan
    keyword whole namei cyan
    keyword whole nano cyan
    keyword whole nawk cyan
    keyword whole ncal cyan
    keyword whole neqn cyan
    keyword whole netconf cyan
    keyword whole netstat cyan
    keyword whole newaliases cyan
    keyword whole newgrp cyan
    keyword whole newusers cyan
    keyword whole nex cyan
    keyword whole nfsstat cyan
    keyword whole ngettext cyan
    keyword whole nice cyan
    keyword whole nisdomainname cyan
    keyword whole nl cyan
    keyword whole nm cyan
    keyword whole nohup cyan
    keyword whole nroff cyan
    keyword whole nsmod cyan
    keyword whole nvi cyan
    keyword whole nview cyan
    keyword whole objcopy cyan
    keyword whole objdump cyan
    keyword whole od cyan
    keyword whole ogg123 cyan
    keyword whole oggdec cyan
    keyword whole oggenc cyan
    keyword whole ogginfo cyan
    keyword whole open cyan
    keyword whole openvt cyan
    keyword whole pager cyan
    keyword whole pam_getenv cyan
    keyword whole paperconf cyan
    keyword whole par cyan
    keyword whole passwd cyan
    keyword whole paste cyan
    keyword whole patch cyan
    keyword whole pathchk cyan
    keyword whole pcimodules cyan
    keyword whole pcretest cyan
    keyword whole pdfinfo cyan
    keyword whole perl cyan
    keyword whole perldoc cyan
    keyword whole pg cyan
    keyword whole pgrep cyan
    keyword whole pic cyan
    keyword whole pico cyan
    keyword whole piconv cyan
    keyword whole pidof cyan
    keyword whole pinfo cyan
    keyword whole ping cyan
    keyword whole pkill cyan
    keyword whole pmap cyan
    keyword whole portmap cyan
    keyword whole poweroff cyan
    keyword whole pr cyan
    keyword whole print cyan
    keyword whole printenv cyan
    keyword whole printf cyan
    keyword whole procmail cyan
    keyword whole prove cyan
    keyword whole ps cyan
    keyword whole psed cyan
    keyword whole psql cyan
    keyword whole pstree cyan
    keyword whole pstruct cyan
    keyword whole ptx cyan
    keyword whole pwconv cyan
    keyword whole pwck cyan
    keyword whole pwd cyan
    keyword whole pwunconv cyan
    keyword whole ramsize cyan
    keyword whole ranlib cyan
    keyword whole rar cyan
    keyword whole rarp cyan
    keyword whole raw cyan
    keyword whole rbash cyan
    keyword whole rcp cyan
    keyword whole rdev cyan
    keyword whole readcd cyan
    keyword whole readelf cyan
    keyword whole readlink cyan
    keyword whole readprofile cyan
    keyword whole reboot cyan
    keyword whole red cyan
    keyword whole regcomp cyan
    keyword whole reject cyan
    keyword whole remadmin cyan
    keyword whole remove-shell cyan
    keyword whole rename cyan
    keyword whole renice cyan
    keyword whole reset cyan
    keyword whole resize2fs cyan
    keyword whole rev cyan
    keyword whole revpath cyan
    keyword whole rgrep cyan
    keyword whole rlogin cyan
    keyword whole rm cyan
    keyword whole rmdir cyan
    keyword whole rmmod cyan
    keyword whole rmt cyan
    keyword whole route cyan
    keyword whole rpcgen cyan
    keyword whole rpcinfo cyan
    keyword whole rplay cyan
    keyword whole rplayd cyan
    keyword whole rplaydsp cyan
    keyword whole rpm cyan
    keyword whole rpm2cpio cyan
    keyword whole rptp cyan
    keyword whole rsh cyan
    keyword whole rstartd cyan
    keyword whole runlevel cyan
    keyword whole run-mailcap cyan
    keyword whole run-parts cyan
    keyword whole savelog cyan
    keyword whole scanimage cyan
    keyword whole scanpci cyan
    keyword whole screendump cyan
    keyword whole script cyan
    keyword whole scriptreplay cyan
    keyword whole sdiff cyan
    keyword whole sed cyan
    keyword whole see cyan
    keyword whole sendmail cyan
    keyword whole seq cyan
    keyword whole set cyan
    keyword whole setfdprm cyan
    keyword whole setkeycodes cyan
    keyword whole setleds cyan
    keyword whole setlogcons cyan
    keyword whole setmetamode cyan
    keyword whole setpci cyan
    keyword whole setserial cyan
    keyword whole setsid cyan
    keyword whole setterm cyan
    keyword whole setvesablank cyan
    keyword whole setxkbmap cyan
    keyword whole sfdisk cyan
    keyword whole sftp cyan
    keyword whole sg cyan
    keyword whole sh cyan
    keyword whole sha1sum cyan
    keyword whole shadowconfig cyan
    keyword whole showcfont cyan
    keyword whole showkey cyan
    keyword whole showmount cyan
    keyword whole shred cyan
    keyword whole shutdown cyan
    keyword whole size cyan
    keyword whole skill cyan
    keyword whole slabtop cyan
    keyword whole slattach cyan
    keyword whole sleep cyan
    keyword whole slogin cyan
    keyword whole smime_keys cyan
    keyword whole snice cyan
    keyword whole soelim cyan
    keyword whole sort cyan
    keyword whole sa-learn cyan
    keyword whole spamassassin cyan
    keyword whole spamc cyan
    keyword whole spamd cyan
    keyword whole splain cyan
    keyword whole split cyan
    keyword whole ssh-add cyan
    keyword whole ssh-copy-id cyan
    keyword whole ssh-keygen cyan
    keyword whole ssh-keyscan cyan
    keyword whole ssmtp cyan
    keyword whole start-stop-daemon cyan
    keyword whole stat cyan
    keyword whole strace cyan
    keyword whole strings cyan
    keyword whole strip cyan
    keyword whole stty cyan
    keyword whole su cyan
    keyword whole sulogin cyan
    keyword whole sum cyan
    keyword whole superformat cyan
    keyword whole su-to-root cyan
    keyword whole svlc cyan
    keyword whole swapoff cyan
    keyword whole swapon cyan
    keyword whole sync cyan
    keyword whole sysctl cyan
    keyword whole syslogd cyan
    keyword whole syslogd-listfiles cyan
    keyword whole syslog-facility cyan
    keyword whole tac cyan
    keyword whole tack cyan
    keyword whole tail cyan
    keyword whole taper cyan
    keyword whole tar cyan
    keyword whole tbl cyan
    keyword whole tcpdchk cyan
    keyword whole tcpdump cyan
    keyword whole tclsh cyan
    keyword whole tcsh cyan
    keyword whole tdbox cyan
    keyword whole tdcheckserver cyan
    keyword whole tddebidate cyan
    keyword whole tdfileview cyan
    keyword whole tdfmlogstrip cyan
    keyword whole tdformail cyan
    keyword whole tdlinuxcounter cyan
    keyword whole tdlinuxlogo cyan
    keyword whole tdmailblacklist cyan
    keyword whole tdmailbody cyan
    keyword whole tdmailcopy cyan
    keyword whole tdmaildirmake cyan
    keyword whole tdmailsubject cyan
    keyword whole tdmailwhitelist cyan
    keyword whole tdnewmsg cyan
    keyword whole tdsysbackup cyan
    keyword whole tee cyan
    keyword whole telinit cyan
    keyword whole telnet cyan
    keyword whole test cyan
    keyword whole tempfile cyan
    keyword whole tic cyan
    keyword whole time cyan
    keyword whole tload cyan
    keyword whole tnef cyan
    keyword whole toe cyan
    keyword whole toolame cyan
    keyword whole top cyan
    keyword whole touch cyan
    keyword whole tput cyan
    keyword whole tr cyan
    keyword whole troff cyan
    keyword whole true cyan
    keyword whole tset cyan
    keyword whole tsort cyan
    keyword whole tty cyan
    keyword whole tunctl cyan
    keyword whole tune2fs cyan
    keyword whole tunelp cyan
    keyword whole tzconfig cyan
    keyword whole tzselect cyan
    keyword whole tzsetup cyan
    keyword whole ucf cyan
    keyword whole ul cyan
    keyword whole umount cyan
    keyword whole uname cyan
    keyword whole unarj cyan
    keyword whole uncompress cyan
    keyword whole unexpand cyan
    keyword whole unicode_start cyan
    keyword whole unicode_stop cyan
    keyword whole unix_chkpwd cyan
    keyword whole uniq cyan
    keyword whole unlink cyan
    keyword whole unzip cyan
    keyword whole unzipsfx cyan
    keyword whole updatedb cyan
    keyword whole update-menus cyan
    keyword whole update-modules cyan
    keyword whole update-pciids cyan
    keyword whole uprecords cyan
    keyword whole uptime cyan
    keyword whole urlview cyan
    keyword whole usbmodules cyan
    keyword whole uscan cyan
    keyword whole useradd cyan
    keyword whole userconf cyan
    keyword whole userdel cyan
    keyword whole usermod cyan
    keyword whole users cyan
    keyword whole usleep cyan
    keyword whole uufilter cyan
    keyword whole uuidgen cyan
    keyword whole vacuumdb cyan
    keyword whole validlocale cyan
    keyword whole vcstime cyan
    keyword whole vcut cyan
    keyword whole vdir cyan
    keyword whole vi cyan
    keyword whole vidmode cyan
    keyword whole view cyan
    keyword whole vigr cyan
    keyword whole vim cyan
    keyword whole vipw cyan
    keyword whole vlc cyan
    keyword whole vmstat cyan
    keyword whole volname cyan
    keyword whole vorbiscomment cyan
    keyword whole vt-is-UTF8 cyan
    keyword whole w cyan
    keyword whole wall cyan
    keyword whole watch cyan
    keyword whole wc cyan
    keyword whole wget cyan
    keyword whole whatis cyan
    keyword whole whereis cyan
    keyword whole which cyan
    keyword whole whiptail cyan
    keyword whole who cyan
    keyword whole whoami cyan
    keyword whole whois cyan
    keyword whole wish cyan
    keyword whole write cyan
    keyword whole writevt cyan
    keyword whole wvWare cyan
    keyword whole wxvlc cyan
    keyword whole xargs cyan
    keyword whole xbmbrowser cyan
    keyword whole xbindkeys cyan
    keyword whole xconf cyan
    keyword whole xdfcopy cyan
    keyword whole xdfformat cyan
    keyword whole Xdialog cyan
    keyword whole xgettext cyan
    keyword whole xloadimage cyan
    keyword whole xmessage cyan
    keyword whole xmodmap cyan
    keyword whole xmms cyan
    keyword whole xpmroot cyan
    keyword whole xrdb cyan
    keyword whole xset cyan
    keyword whole xsetbg cyan
    keyword whole xsetmode cyan
    keyword whole xsetpointer cyan
    keyword whole xsetroot cyan
    keyword whole xterm cyan
    keyword whole xview cyan
    keyword whole xwd cyan
    keyword whole xwud cyan
    keyword whole xzgv cyan
    keyword whole yes cyan
    keyword whole ypdomainname cyan
    keyword whole yydecode cyan
    keyword whole zcat cyan
    keyword whole zcmp cyan
    keyword whole zdiff cyan
    keyword whole zdump cyan
    keyword whole zegrep cyan
    keyword whole zfgrep cyan
    keyword whole zforce cyan
    keyword whole zgrep cyan
    keyword whole zgv cyan
    keyword whole zic cyan
    keyword whole zip cyan
    keyword whole zipcloak cyan
    keyword whole zipgrep cyan
    keyword whole zipinfo cyan
    keyword whole zipnote cyan
    keyword whole zipsplit cyan
    keyword whole zless cyan
    keyword whole zmore cyan
    keyword whole znew cyan
    keyword whole zoo cyan
    keyword whole zsh cyan
    keyword whole zsoelim cyan
    keyword whole zxpdf cyan

    keyword whole pamcut cyan
    keyword whole pamdeinterlace cyan
    keyword whole pamdice cyan
    keyword whole pamfile cyan
    keyword whole pamoil cyan
    keyword whole pamstack cyan
    keyword whole pamstretch cyan
    keyword whole pamstretch-gen cyan

    keyword whole pbmclean cyan
    keyword whole pbmlife cyan
    keyword whole pbmmake cyan
    keyword whole pbmmask cyan
    keyword whole pbmpage cyan
    keyword whole pbmpscale cyan
    keyword whole pbmreduce cyan
    keyword whole pbmtext cyan
    keyword whole pbmtextps cyan
    keyword whole pbmupc cyan

    keyword whole pbmtoascii cyan
    keyword whole pbmtoepsi cyan
    keyword whole pbmtog3 cyan
    keyword whole pbmtoicon cyan
    keyword whole pbmtolj cyan
    keyword whole pbmtomacp cyan
    keyword whole pbmtonokia cyan
    keyword whole pbmtopgm cyan
    keyword whole pbmtoplot cyan
    keyword whole pbmtoppa cyan
    keyword whole pbmtopsg3 cyan
    keyword whole pbmtowbmp cyan
    keyword whole pbmtoxbm cyan

    keyword whole g3topbm cyan
    keyword whole thinkjettopbm cyan
    keyword whole wbmptopbm cyan
    keyword whole xbmtopbm cyan

    keyword whole pgmbentley cyan
    keyword whole pgmcrater cyan
    keyword whole pgmedge cyan
    keyword whole pgmenhance cyan
    keyword whole pgmhist cyan
    keyword whole pgmkernel cyan
    keyword whole pgmnoise cyan
    keyword whole pgmnorm cyan
    keyword whole pgmoil cyan
    keyword whole pgmramp cyan
    keyword whole pgmslice cyan
    keyword whole pgmtexture cyan

    keyword whole pgmtopbm cyan
    keyword whole pgmtoppm cyan

    keyword whole asciitopgm cyan
    keyword whole bioradtopgm cyan
    keyword whole icontopgm cyan
    keyword whole jpegtopgm cyan
    keyword whole macptopgm cyan
    keyword whole rawtopgm cyan

    keyword whole pnmalias cyan
    keyword whole pnmarith cyan
    keyword whole pnmcat cyan
    keyword whole pnmcolormap cyan
    keyword whole pnmcomp cyan
    keyword whole pnmconvol cyan
    keyword whole pnmcrop cyan
    keyword whole pnmcut cyan
    keyword whole pnmdepth cyan
    keyword whole pnmenlarge cyan
    keyword whole pnmfile cyan
    keyword whole pnmflip cyan
    keyword whole pnmgamma cyan
    keyword whole pnmhisteq cyan
    keyword whole pnmhistmap cyan
    keyword whole pnmindex cyan
    keyword whole pnminterp cyan
    keyword whole pnminterp-gen cyan
    keyword whole pnminvert cyan
    keyword whole pnmmargin cyan
    keyword whole pnmmontage cyan
    keyword whole pnmnlfilt cyan
    keyword whole pnmnoraw cyan
    keyword whole pnmnorm cyan
    keyword whole pnmpad cyan
    keyword whole pnmpaste cyan
    keyword whole pnmpsnr cyan
    keyword whole pnmquant cyan
    keyword whole pnmremap cyan
    keyword whole pnmrotate cyan
    keyword whole pnmscale cyan
    keyword whole pnmscalefixed cyan
    keyword whole pnmshear cyan
    keyword whole pnmsmooth cyan
    keyword whole pnmsplit cyan
    keyword whole pnmtile cyan

    keyword whole pnmtofits cyan
    keyword whole pnmtojpeg cyan
    keyword whole pnmtopalm cyan
    keyword whole pnmtoplainpnm cyan
    keyword whole pnmtopng cyan
    keyword whole pnmtops cyan
    keyword whole pnmtorle cyan
    keyword whole pnmtotiff cyan
    keyword whole pnmtoxwd cyan

    keyword whole bpmtopnm cyan
    keyword whole giftopnm cyan
    keyword whole palmtopnm cyan
    keyword whole pngtopnm cyan
    keyword whole pstopnm cyan
    keyword whole rletopnm cyan
    keyword whole tifftopnm cyan
    keyword whole xwdtopnm cyan

    keyword whole ppm3d cyan
    keyword whole ppmbrighten cyan
    keyword whole ppmchange cyan
    keyword whole ppmcie cyan
    keyword whole ppmcolormask cyan
    keyword whole ppmcolors cyan
    keyword whole ppmdim cyan
    keyword whole ppmdist cyan
    keyword whole ppmdither cyan
    keyword whole ppmfade cyan
    keyword whole ppmflash cyan
    keyword whole ppmforge cyan
    keyword whole ppmhist cyan
    keyword whole ppmlabel cyan
    keyword whole ppmmake cyan
    keyword whole ppmmix cyan
    keyword whole ppmnorm cyan
    keyword whole ppmntsc cyan
    keyword whole ppmpat cyan
    keyword whole ppmquant cyan
    keyword whole ppmquantall cyan
    keyword whole ppmqvgq cyan
    keyword whole ppmrainbow cyan
    keyword whole ppmrelief cyan
    keyword whole ppmshadow cyan
    keyword whole ppmshift cyan
    keyword whole ppmspread cyan
    keyword whole ppmtv cyan

    keyword whole ppmtoacad cyan
    keyword whole ppmtobmp cyan
    keyword whole ppmtogif cyan
    keyword whole ppmtojpeg cyan
    keyword whole ppmtolj cyan
    keyword whole ppmtomap cyan
    keyword whole ppmtompeg cyan
    keyword whole ppmtopcx cyan
    keyword whole ppmtopgm cyan
    keyword whole ppmtorgb3 cyan
    keyword whole ppmtowinicon cyan
    keyword whole ppmtoxpm cyan

    keyword whole bpmtoppm cyan
    keyword whole pcxtoppm cyan
    keyword whole pdftoppm cyan
    keyword whole pjtoppm cyan
    keyword whole rawtoppm cyan
    keyword whole rgb3toppm cyan
    keyword whole winicontoppm cyan
    keyword whole xpmtoppm cyan
    keyword whole xvminitoppm cyan

    keyword whole gpg red
    keyword whole md5sum red
    keyword whole openssl red
    keyword whole ssh red
    keyword whole scp red

    keyword whole gettext.sh magenta
    keyword whole TEXTDOMAINDIR magenta
    keyword whole TEXTDOMAIN magenta
    keyword whole VERSION magenta

    keyword whole /dev/audio brightblue
    keyword whole /dev/dsp brightblue
    keyword whole /dev/null brightblue
    keyword whole /dev/mixed brightblue
    keyword whole /dev/stdin brightblue
    keyword whole /dev/stdout brightblue
    keyword whole /dev/stderr brightblue
    keyword whole /dev/zero brightblue
PK[z&fx8	8	filehighlight.syntaxnu�[���# Syntax highlighting rules for file highlighting rules

# Use \[\] at the end of keywords to suppress their special meaning

context default
    keyword whole black black blue
    keyword whole red red
    keyword whole green green
    keyword whole brown brown
    keyword whole blue blue black
    keyword whole magenta magenta
    keyword whole cyan cyan
    keyword whole lightgray lightgray
    keyword whole gray gray black
    keyword whole brightred brightred
    keyword whole brightgreen brightgreen
    keyword whole yellow yellow
    keyword whole brightblue brightblue black
    keyword whole brightmagenta brightmagenta
    keyword whole brightcyan brightcyan
    keyword whole white white

    keyword = brightcyan
    keyword ; brightmagenta
    keyword whole extensions yellow
    keyword whole extensions_case yellow
    keyword whole type yellow
    keyword DEVICE brightred
    keyword DIR brightred
    keyword FILE_EXE brightred
    keyword SPECIAL brightred
    keyword STALE_LINK brightred
    keyword SYMLINK brightred
    keyword HARDLINK brightred

context linestart # \n brown

context linestart [ ] white

context regexp= \n lightgray
    keyword = brightcyan
    keyword whole regexp yellow

# regexp patterns
    keyword \\\/ brightcyan
    keyword \\\\ brightcyan
    keyword \\B brightcyan
    keyword \\b brightcyan
    keyword \\D brightcyan
    keyword \\d brightcyan
    keyword \\S brightcyan
    keyword \\s brightcyan
    keyword \\W brightcyan
    keyword \\w brightcyan
    keyword \\A brightcyan
    keyword \\Z brightcyan

    keyword \\( brightcyan
    keyword \\) brightcyan
    keyword \\[ brightcyan
    keyword \\] brightcyan
    keyword \\{ brightcyan
    keyword \\} brightcyan
    keyword \\$ brightcyan
    keyword \\\+ brightcyan
    keyword \\. brightcyan
    keyword \\? brightcyan
    keyword \\\* brightcyan
    keyword \\^ brightcyan
    keyword \\| brightcyan

    keyword ( brightmagenta
    keyword ) brightmagenta
    keyword [ brightmagenta
    keyword ] brightmagenta
    keyword { brightmagenta
    keyword } brightmagenta

    keyword ?! yellow
    keyword ?# yellow
    keyword ?: yellow
    keyword ?= yellow
    keyword ?\{-imx:\}+ yellow

    keyword $ yellow
    keyword \+ yellow
    keyword . yellow
    keyword ? yellow
    keyword \* yellow
    keyword ^ yellow
    keyword | yellow
PK[�v�R��yaml.syntaxnu�[���# YAML syntax highlighting

# Reference:
#   https://en.wikipedia.org/wiki/YAML
#   http://yaml.org/refcard.html

context default
    spellcheck
    keyword #*\n brown
    keyword {{*}} brightred
    keyword linestart --- brightcyan
    keyword linestart \[\s\]-\s brightcyan
    # "YAML requires that colons and commas used as list separators be followed by a space [...]"
    keyword ,\{\s\n\} brightcyan
    keyword , red
    # Below, we can get by with just "*:\{\s\n\}", but we prefer to restrict the
    # key names in order not to grab too much (e.g., "{one: 1, two: 2}", "one: 1  # possibilities: 1 or 2").
    keyword \{0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\}\[\s-0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\]:\{\s\n\} yellow
    keyword [ brightcyan
    keyword ] brightcyan
    keyword { brightcyan
    keyword } brightcyan
    keyword whole true brightmagenta
    keyword whole false brightmagenta
    keyword whole null brightmagenta

context " " green
    spellcheck
    keyword {{*}} brightred
    keyword \\" brightgreen
    keyword \\\\ brightgreen
    keyword \\\{xuU\}\[0123456789\] brightgreen
    keyword \\\{0abfnrtve_NLP\} brightgreen

context ' ' green
    spellcheck
    keyword {{*}} brightred
PK[�Ϣ�8 8 dlink.syntaxnu�[���# D-Link switch command set syntax
# Copyleft, by KLArk (A), 2010 y.

context default

    keyword whole linestart create yellow
    keyword whole linestart config yellow
    keyword whole linestart delete yellow
    keyword whole linestart enable yellow
    keyword whole linestart disable yellow
    keyword whole linestart save yellow
    keyword whole linestart logout yellow
    keyword whole linestart reset\sconfig brightred

    keyword whole permit brightgreen
    keyword whole enable brightgreen
    keyword whole disable brightred
    keyword whole delete brightred
    keyword whole deny brightred
    keyword whole drop brightred

    keyword whole authen_enable brightcyan
    keyword whole authen\sapplication brightcyan
    keyword whole authen\sparameter brightcyan
    keyword whole authen\senable_admin brightcyan
    keyword whole authen_policy brightcyan
    keyword whole authen_login brightcyan

    keyword whole snmp\ssystem_name brightcyan
    keyword whole snmp\ssystem_location brightcyan
    keyword whole snmp\ssystem_contact brightcyan
    keyword whole snmp\scommunity brightcyan
    keyword whole snmp\suser brightcyan
    keyword whole snmp\sgroup brightcyan
    keyword whole snmp\sview brightcyan
    keyword whole snmp\sengineID brightcyan
    keyword whole snmp brightcyan

    keyword whole vlan brightcyan
    keyword whole gvrp brightcyan
    keyword whole lldp brightcyan
    keyword whole stp brightcyan
    keyword whole double_vlan brightcyan
    keyword whole clipaging brightcyan
    keyword whole hol_prevention brightcyan
    keyword whole ssl\sciphersuite brightcyan
    keyword whole ssl\scachetimeout brightcyan
    keyword whole jumbo_frame brightcyan
    keyword whole pvid\sauto_assign brightcyan
    keyword whole qinq brightcyan
    keyword whole rspan brightcyan
    keyword whole filter\sdhcp_server brightcyan
    keyword whole bpdu_tunnel brightcyan
    keyword whole greeting_message brightcyan
    keyword whole ssh\salgorithm brightcyan
    keyword whole ssh\sauthmode brightcyan
    keyword whole ssh\suser brightcyan
    keyword whole ipif_mac_mapping brightcyan
    keyword whole mac_based_access_control brightcyan
    keyword whole ipv6\snd brightcyan
    keyword whole wac\smethod brightcyan
    keyword whole wac brightcyan
    keyword whole route\spreference brightcyan
    keyword whole ecmp\salgorithm brightcyan
    keyword whole ecmp\sospf brightcyan
    keyword whole igmp brightcyan
    keyword whole pim brightcyan
    keyword whole dvmrp brightcyan
    keyword whole rip brightcyan
    keyword whole ospf brightcyan
    keyword whole dnsr brightcyan
    keyword whole dhcp_server brightcyan
    keyword whole dhcp brightcyan
    keyword whole option_82 brightcyan
    keyword whole option_60 brightcyan
    keyword whole option_61 brightcyan
    keyword whole vrrp brightcyan
    keyword whole autoconfig brightcyan
    keyword whole lacp_port brightcyan
    keyword whole serial_port brightcyan
    keyword whole terminal_line brightcyan
    keyword whole port_security brightcyan
    keyword whole rmon brightcyan
    keyword whole password\sencryption brightcyan
    keyword whole traffic\strap brightcyan
    keyword whole traffic\scontrol brightcyan
    keyword whole traffic\scontrol_trap brightcyan
    keyword whole loopdetect brightcyan
    keyword whole sim brightcyan
    keyword whole system_severity brightcyan
    keyword whole scheduling brightcyan
    keyword whole fdb brightcyan
    keyword whole ssh brightcyan
    keyword whole ssl brightcyan
    keyword whole safeguard_engine brightcyan
    keyword whole time_zone brightcyan
    keyword whole dst brightcyan
    keyword whole igmp_snooping brightcyan
    keyword whole multicast_range brightcyan
    keyword whole limited_multicast_addr brightcyan
    keyword whole arp_aging brightcyan
    keyword whole gratuitous_arp brightcyan
    keyword whole command_prompt brightcyan
    keyword whole iproute brightcyan
    keyword whole time brightcyan
    keyword whole sntp brightcyan
    keyword whole multicast brightcyan
    keyword whole arpentry brightcyan
    keyword whole address_binding brightcyan
    keyword whole dhcp_relay brightcyan
    keyword whole dhcp_local_relay brightcyan
    keyword whole traffic_segmentation brightcyan
    keyword whole account brightcyan
    keyword whole syslog brightcyan
    keyword whole 802.1x brightcyan
    keyword whole 802.1p brightcyan
    keyword whole ipif brightcyan
    keyword whole mirror brightcyan
    keyword whole policy_route brightcyan
    keyword whole asymmetric_vlan brightcyan
    keyword whole bandwidth_control brightcyan
    keyword whole scheduling_mechanism brightcyan
    keyword whole log_save_timing brightcyan
    keyword whole mac_notification brightcyan
    keyword whole link_aggregation brightcyan
    keyword whole cpu_interface_filtering brightcyan

    keyword whole access_profile\spacket_content_mask brightcyan
    keyword whole access_profile\sip brightcyan
    keyword whole access_profile\sethernet brightcyan
    keyword whole access_profile\sprofile_id\s\[1234567890\] magenta
    keyword whole profile_id\s\[1234567890\] brightmagenta
    keyword whole access_id\s\[1234567890\] blue
    keyword whole access_id\sauto_assign blue
    keyword whole \*\*\*\*\*\*\*\* brightred
    keyword whole \[1234567890\].\[1234567890\].\[1234567890\].\[1234567890\]/\[1234567890\] red
    keyword whole \[1234567890\].\[1234567890\].\[1234567890\].\[1234567890\] red
    keyword whole \[1234567890abcdefABCDEDF\]:\[1234567890abcdefABCDEF\]:\[1234567890abcdefABCDEF\]:\[1234567890abcdefABCDEF\]:\[1234567890abcdefABCDEF\]:\[1234567890abcdefABCDEF\] cyan
    keyword whole \[1234567890abcdefABCDEDF\]-\[1234567890abcdefABCDEF\]-\[1234567890abcdefABCDEF\]-\[1234567890abcdefABCDEF\]-\[1234567890abcdefABCDEF\]-\[1234567890abcdefABCDEF\] cyan
    keyword whole mst_ports\s\[1234567890\-\] white
    keyword whole ports\s\[1234567890\-\] white
    keyword whole port\s\[1234567890\-\] white
    keyword whole web\s\[1234567890\] green
    keyword whole telnet\s\[1234567890\] green
    keyword whole udp_port\s\[1234567890\] green
    keyword whole tcp_port\s\[1234567890\] green
    keyword whole src_port\s\[1234567890\] green
    keyword whole dst_port\s\[1234567890\] green
    keyword whole icmp\stype\s\[1234567890\] green
    keyword whole ports\sall white
    keyword whole port\sall white
    keyword whole telnet brightcyan
    keyword whole web brightcyan

context exclusive config\sgvrp state
    keyword whole gvrp brightcyan
    keyword whole \[1234567890\-\] white

context exclusive traffic_segmentation \n
    keyword whole \[1234567890\-\] white
    keyword whole all white

context exclusive traffic\scontrol action
    keyword whole enable brightgreen
    keyword whole disable brightred
    keyword whole \[1234567890\-\] white

context exclusive bandwidth_control \n
    keyword whole \[1234567890\-\] white

context exclusive default_priority \n
    keyword whole \[1234567890\-\] white

context exclusive filtering_mode \n
    keyword whole all white

context exclusive config\srouter_ports_forbidden \n
    keyword whole router_ports_forbidden brightcyan
    keyword whole \[1234567890\-\] white

context exclusive config\sssh\sserver \n
    keyword whole ssh\sserver brightcyan
    keyword whole port\s\[1234567890\-\] green

context exclusive create\svlan \n
    keyword whole vlan brightcyan
    keyword whole \[1234567890\] brightblue

context exclusive pvid \n
    keyword whole \[1234567890\] brightblue

context exclusive config\svlan\s\[ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789_\-\]\sdelete \n
    keyword whole vlan brightcyan
    keyword whole \[1234567890\-\] white

context exclusive CommunityView \n
    keyword whole \[1234567890\.\] blue

context exclusive restricted \n
    keyword whole \[1234567890\.\] blue

context exclusive lacp_port mode
    keyword whole \[1234567890\-\] white

context exclusive add\sforbidden \n
    keyword whole \[1234567890\-\] white

context exclusive add\suntagged \n
    keyword whole \[1234567890\-\] white

context exclusive add\stagged \n
    keyword whole \[1234567890\-\] white

context linestart # \n brown
    spellcheck

PK[�ؗyynemerle.syntaxnu�[���# mcedit syntax file
#
# Copyright (c) 2004 The University of Wroclaw.
# All rights reserved.
#
# Redistribution and use in source and binary forms, with or without
# modification, are permitted provided that the following conditions
# are met:
#    1. Redistributions of source code must retain the above copyright
#       notice, this list of conditions and the following disclaimer.
#    2. Redistributions in binary form must reproduce the above copyright
#       notice, this list of conditions and the following disclaimer in the
#       documentation and/or other materials provided with the distribution.
#    3. The name of the University may not be used to endorse or promote
#       products derived from this software without specific prior
#       written permission.
#
# THIS SOFTWARE IS PROVIDED BY THE UNIVERSITY ``AS IS'' AND ANY EXPRESS OR
# IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES
# OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN
# NO EVENT SHALL THE UNIVERSITY BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
# SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED
# TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR
# PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
# LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
#
# Adapted from java.syntax by Jacek Sliwerski.

context default
    keyword whole abstract yellow
    keyword whole and yellow
    keyword whole array yellow
    keyword whole as yellow
    keyword whole base yellow
    keyword whole bool yellow
    keyword whole catch yellow
    keyword whole const yellow
    keyword whole continue yellow
    keyword whole def yellow
    keyword whole else yellow
    keyword whole enum yellow
    keyword whole extends yellow
    keyword whole extern yellow
    keyword whole false yellow
    keyword whole finally yellow
    keyword whole float yellow
    keyword whole for yellow
    keyword whole foreach yellow
    keyword whole fun yellow
    keyword whole if yellow
    keyword whole implements yellow
    keyword whole in yellow
    keyword whole int yellow
    keyword whole interface yellow
    keyword whole internal yellow
    keyword whole list yellow
    keyword whole macro yellow
    keyword whole match yellow
    keyword whole module yellow
    keyword whole mutable yellow
    keyword whole namespace yellow
    keyword whole new yellow
    keyword whole null yellow
    keyword whole object yellow
    keyword whole option yellow
    keyword whole out yellow
    keyword whole override yellow
    keyword whole params yellow
    keyword whole private yellow
    keyword whole protected yellow
    keyword whole public yellow
    keyword whole ref yellow
    keyword whole sealed yellow
    keyword whole static yellow
    keyword whole string yellow
    keyword whole syntax yellow
    keyword whole this yellow
    keyword whole throw yellow
    keyword whole true yellow
    keyword whole try yellow
    keyword whole tymatch yellow
    keyword whole type yellow
    keyword whole typeof yellow
    keyword whole unless yellow
    keyword whole using yellow
    keyword whole variant yellow
    keyword whole virtual yellow
    keyword whole void yellow
    keyword whole when yellow
    keyword whole where yellow
    keyword whole while yellow
    keyword whole with yellow

    keyword /\* brown
    keyword \*/ brown
    keyword // brown

    keyword '\\\{"abtnvfr\}' brightgreen
    keyword '\\\{0123\}\{01234567\}\{01234567\}' brightgreen
    keyword '\\'' brightgreen
    keyword '\\\\' brightgreen
    keyword '\\0' brightgreen
    keyword '\{\s!"#$%&()\*\+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[]^_`abcdefghijklmnopqrstuvwxyz{|}~���������������������������������������������������������������������������������������������\}' brightgreen


    keyword %&& brightcyan
    keyword || brightcyan
    keyword && brightcyan
    keyword %| brightcyan
    keyword %& brightcyan
    keyword %^ brightcyan
    keyword :> brightcyan
    keyword <[ brightcyan
    keyword ]> brightcyan
    keyword > yellow
    keyword < yellow
    keyword \+ yellow
    keyword - yellow
    keyword \* yellow
    keyword / yellow
    keyword % yellow
    keyword = yellow
    keyword != yellow
    keyword == yellow
    keyword { brightcyan
    keyword } brightcyan
    keyword ( brightcyan
    keyword ) brightcyan
    keyword [ brightcyan
    keyword ] brightcyan
    keyword , brightcyan
    keyword : brightcyan
    keyword ? brightcyan
    keyword | brightcyan
    keyword ~ brightcyan
    keyword ! brightcyan
    keyword ; brightmagenta

context exclusive /\* \*/ brown
    spellcheck

context exclusive // \n brown
    spellcheck

context linestart # \n brightred
    keyword \\\n yellow
    keyword /\**\*/ brown
    keyword //*\n brown
    keyword "+" red
    keyword <+> red

context " " green
    spellcheck
    keyword $\[().abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ_\*\] brightgreen
    keyword \\" brightgreen
    keyword %% brightgreen
    keyword %\[#0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[L\]\{eEfgGoxX\} brightgreen
    keyword %\[0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[hl\]\{diuxX\} brightgreen
    keyword %\[hl\]n brightgreen
    keyword %\[-\]\[0123456789\*\]\[.\]\[0123456789\*\]s brightgreen
    keyword %[*] brightgreen
    keyword %c brightgreen
    keyword %p brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
    keyword \\\\ brightgreen
    keyword \\' brightgreen
    keyword \\\{abtnvfr\} brightgreen
PK[u-0�bb
syntax.syntaxnu�[���# Syntax highlighting rules for syntax highlighting rules

# Use \[\] at the end of keywords to suppress their special meaning

wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789_.\\[]{}

context default
# Invalid combinations, not highlighted
    keyword whole linestart\[\t\s\]whole
    keyword whole linestart\[\t\s\]wholeleft
    keyword whole linestart\[\t\s\]wholeright

# Combinations below are valid
    keyword whole whole\[\t\s\]linestart brightcyan
    keyword whole wholeleft\[\t\s\]linestart brightcyan
    keyword whole wholeright\[\t\s\]linestart brightcyan
    keyword whole whole\[\] brightcyan
    keyword whole wholeleft\[\] brightcyan
    keyword whole wholeright\[\] brightcyan
    keyword whole linestart\[\] brightcyan

    keyword whole wholechars\[\t\s\]left brightcyan
    keyword whole wholechars\[\t\s\]right brightcyan
    keyword whole wholechars\[\] brightcyan
    keyword whole define\[\] brightcyan

    keyword whole spellcheck\[\] yellow
    keyword whole keyword\[\] yellow
    keyword whole context\[\t\s\]exclusive brightred
    keyword whole context\[\t\s\]default brightred
    keyword whole context\[\] brightred
    keyword whole caseinsensitive\[\] brightred

    keyword whole linestart file\[\] brightgreen
    keyword whole linestart include\[\] brightred

    keyword whole black black blue
    keyword whole red red
    keyword whole green green
    keyword whole brown brown
    keyword whole blue blue black
    keyword whole magenta magenta
    keyword whole cyan cyan
    keyword whole lightgray lightgray
    keyword whole gray gray black
    keyword whole brightred brightred
    keyword whole brightgreen brightgreen
    keyword whole yellow yellow
    keyword whole brightblue brightblue black
    keyword whole brightmagenta brightmagenta
    keyword whole brightcyan brightcyan
    keyword whole white white

context linestart # \n brown
    spellcheck

# Ideally we would have defined comments with "context linestart \[\s\]# ...",
# but contexts can't start with a character class. So we define comments twice.
context linestart \s\[\s\]# \n brown
    spellcheck
PK[,�		Syntaxnu�[���# This file describes which highlighting scheme is applied to a particular
# file in mcedit.
#
# Each entry consists of two lines: a "file" specification and the
# corresponding highlighting definition ("include"). A file specification
# can have two or three fields, each separated by white-space characters.
#
# The first field is a regular expression that is matched against the file
# name. The second field is a description of the file type and is
# currently unused. The third (optional) field is a regular expression
# that is matched against the first line of the file. The first entry
# that matches wins, that is, all the entries below are ignored.
#
# Certain characters must be quoted in these fields. These are space (\s),
# tabs (\t), backslashes(\\), plus (\+) and asterisk (\*). Braces {} and
# brackets [] must not be quoted in this file. However, they have a special
# meaning when used in the actual syntax definitions.
#
# You can use the following colors in the syntax highlighting files:
# black, blue, brightblue, brightcyan, brightgreen, brightmagenta,
# brightred, brown, cyan, gray, green, lightgray, magenta, red, white,
# yellow
#

file [Ss]yntax$ Syntax\sHighlighting\sdefinitions ^#\ssyntax\srules\sversion\s
include syntax.syntax

file filehighlight.ini$ File\sHighlighting\sdefinitions ^#\sfile\shighlight\srules\sversion\s
include filehighlight.syntax

file ..\*\\.e$ Eiffel\sSource\sFile
include eiffel.syntax

file ..\*\\.(diff|rej|patch)$ Diff\sOutput ^(diff|Index:)\s
include diff.syntax

file ..\*\\.lsm$ LSM\sFile
include lsm.syntax

file .\*(bash_completion|profile|\\.(sh|bash_login|bash_profile|bash_logout|bash_aliases|bash_exports|bash_history|bashrc|profile|zlogin|zlogout|zprofile|zshenv|zshrc))$ Shell\sScript ^#!\s\*/(.\*/|usr/bin/env\s)([a-z]?|ba|pdk)sh
include sh.syntax

file ..\*\\.(pl|PL|pm|PM|psgi|PSGI|t)$ Perl\sProgram ^#!.\*([\s/]perl|/usr/bin/perl)
include perl.syntax

file ..\*\\.(py|PY)$ Python\sProgram ^#!.\*([\s/]python|/usr/bin/python)
include python.syntax

file ..\*\\.(pyx|pxd|pxi)$ Cython\sor\sPyrex\sProgram
include cython.syntax

file ..\*\\.(rb|RB)$ Ruby\sProgram ^#!.\*([\s/]ruby|/usr/bin/ruby)
include ruby.syntax

file ..\*\\.(man|[0-9n]|[0-9](x|ncurses|ssl|p|pm|menu|form|vga|t|td))$ NROFF\sSource
include nroff.syntax

file ..\*\\.(htm|html|HTM|HTML)$ HTML\sFile
include html.syntax

file ..\*\\.(xml|XML|xsd|XSD|xslt?|XSLT?|dtd|DTD|qpg|qpg.in)$ XML\sdocument (\\?xml\sversion|!DOCTYPE\s)
include xml.syntax

file ..\*\\.(tt|TT)$ Template::Toolkit\sFile
include tt.syntax

file (.\*[Mm]akefile[\\\.A-Za-z0-9]\*|..\*\\.mk|..\*\\.mak|Kbuild)$ Makefile
include makefile.syntax

file (CMakeLists.txt|.\*.cmake)$ CMake
include cmake.syntax

file ..\*\\.(pas|PAS|dpr|DPR|inc|INC)$ Pascal\sProgram
include pascal.syntax

file ..\*\\.(ada|adb|ads|ADA|ADB|ADS)$ Ada\sProgram
include ada95.syntax

file ..\*\\.(tcl|itcl|TCL|ITCL)$ Tcl\sProgram
include tcl.syntax

file ..\*\\.(sl|SL)$ S-Lang\sProgram
include slang.syntax

file ..\*\\.tex$ LaTeX\s2.09\sDocument
include latex.syntax

file ..\*\\.(texi|texinfo|TEXI|TEXINFO)$ Texinfo\sDocument
include texinfo.syntax

file ..\*\\.c$ C\sProgram
include c.syntax

file ..\*\\.([hC]|CC|cxx|cc|cpp|CPP|CXX|hxx|hh|hpp|HPP|h\\.in)$ C/C\+\+\sProgram
include cxx.syntax

file ..\*\\.d$ D\sProgram
include d.syntax

file ..\*\\.[fF]$ Fortran\sProgram
include fortran.syntax

file ..\*\\.go$ GoLang\sProgram
include go.syntax

file ..\*\\.[fF]9[05]$ Freeform\sFortran\sProgram
include f90.syntax

file ..\*\\.i$ SWIG\sSource
include swig.syntax

file ..\*\\.(php|PHP)[0-9]?$ PHP\sProgram
include php.syntax

file ..\*\\.ij[xs]$ J\sFile
include j.syntax

file ..\*\\.(java|JAVA|Java|jav|groovy|GROOVY|Groovy)$ Java\sProgram
include java.syntax

file ..\*\\.(properties|config)$ Java\sFile
include properties.syntax

file ..\*\\.(cs|CS)$ C\#\sProgram
include cs.syntax

file ..\*\\.(js|JS)$ JavaScript\sProgram ^#!.\*[\s/](node|nodejs)\\b
include js.syntax

file ..\*\\.(as|AS)$ ActionScript\sProgram
include as.syntax

file ..\*\\.(asax|aspx|ascx|asmx|ashx)$ ASPX\sFile
include aspx.syntax

file ..\*\\.st$ SmallTalk\sProgram
include smalltalk.syntax

file ..\*\\.(lisp|lsp|el)$ Lisp\sProgram
include lisp.syntax

file ..\*\\.(ml|mli|mly|mll|mlp)$ ML\sProgram
include ml.syntax

file ..\*\\.m$ Matlab\sor\sOctave\sFile
include octave.syntax

file ..\*\\.(sql|SQL)$ SQL\sProgram
include sql.syntax

file ..\*\\.(spec|spec\\.in)$ RPM\sSpecfile
include spec.syntax

file ..\*\\.repo$ YUM\sRepo\sFile
include yum-repo.syntax

file ..\*\\.awk$ AWK\sFile
include awk.syntax

file ..\*\\.(css|qss|CSS|QSS)$ CSS\sFile
include css.syntax

file .\*ChangeLog[\\\.A-Za-z0-9_]\*$ GNU\sChangeLog\sFile
include changelog.syntax

file (..\*\\.m4$|configure\\.in|configure\\.ac) M4\sMacroprocessor\sSource
include m4.syntax

file ..\*\\.(bat|cmd)$ DOS\sBatch
include dos.syntax

file ..\*\\.(po|pot|pox)$ PO\sFile
include po.syntax

file ..\*\\.([Aa][Ss][Mm]|s|S)$ ASM\sProgram
include assembler.syntax

file ..\*\\.([Pp][Oo][Vv])$ POV\sScript
include povray.syntax

file .\*\\.(ebuild|eclass)$ Gentoo\sEbuild
include ebuild.syntax

file ..\*\\.([lL][uU][aA])$ Lua\sProgram ^#!.\*[\s/]lua
include lua.syntax

file ..\*\\.([iI][dD][lL])$ CORBA\sIDL
include idl.syntax

file ..\*\\.([iI][nN][iI])$ INI\sFile
include ini.syntax

file Don_t_match_me Mail\sfolder ^(From|Return-(P|p)ath:|From:|Date:)\s
include mail.syntax

file \\.procmailrc$ Procmail\sRC\sFile ^#/usr/bin/procmail
include procmail.syntax

file sources.list$ sources\slist
include debian-sources-list.syntax

file control$ Debian\scontrol\sfile
include debian-control.syntax

file (rules|rocks)$ Debian\srules
include makefile.syntax

file .\*changelog$ Debian\schangelog\sfile
include debian-changelog.syntax

file changelog.Debian$ Debian\schangelog\sfile
include debian-changelog.syntax

file ..\*\\.dsc$ Debian\sdescriptiom\sfile
include debian-description.syntax

file ..\*\\.([hH][sS][cC]?)$ Haskell\sprogram
include haskell.syntax

file ..\*\\.([cC][aA][bB][aA][lL])$ Cabal\sconfig\sfile
include cabal.syntax

file ..\*\\.[nN]$ Nemerle\sProgram
include nemerle.syntax

file ..\*\\.[vV]$ Verilog\sDevice\sDescription
include verilog.syntax

file ..\*\\.(hdl|vhd|vdhl|HDL|VHD|VHDL)$ VHDL\sDevice\sDescription
include vhdl.syntax

file ..\*\\.erl$ Erlang\sProgram ^(-module\\(|#!.*escript)
include erlang.syntax

file ..\*\\.hrl$ Erlang\sHeader ^-record\\(
include erlang.syntax

file .\*named.conf$ Bind9\sconfiguration
include named.syntax

file ..\*\\.strace$ Strace\sdebug\soutput
include strace.syntax

file PKGBUILD$ Arch\spackage\sbuild\sscript
include PKGBUILD.syntax

file \\.install$ Arch\spackage\sinstall\sscript
include sh.syntax

file ..\*\\.(l|y|yxx|ypp)$ Lex/Flex/Yacc/Bison\ssource
include yxx.syntax

file ..\*\\.dlink\\.sw$ D-Link\sSwitch\sCommands
include dlink.syntax

file ..\*\\.([jJ][aA][lL][tT]?)$ Jal\ssource
include jal.syntax

file ..\*\\.([lL][kK][rR])$ PIC\slinker\sscript\sfile
include lkr.syntax

file ..\*\\.pp$ Puppet\smanifest\sfile
include puppet.syntax

file ..\*\\.(glsl|vert|frag|geom)$ GLSL\sProgram
include glsl.syntax

file ..\*\\.(cu|cuh)$ CUDA\sProgram
include cuda.syntax

file ..\*\\.cl$ OpenCL\sProgram
include opencl.syntax

file ..\*\\.(ya?ml|YML)$ YAML\sFile
include yaml.syntax

file .\*\\.osl$ OSL\sProgram
include osl.syntax

file .\*\\.([rR]|Rd|Rscript)$ R\sProgram
include r.syntax

file .\* unknown
include unknown.syntax
PK[_���d
d
slang.syntaxnu�[���context default
    keyword whole forever white
    keyword whole foreach white
    keyword whole using white
    keyword whole orelse white
    keyword whole andelse white
    keyword whole loop white
    keyword whole variable white
    keyword whole define white
    keyword whole break white
    keyword whole case white
    keyword whole continue white
    keyword whole do white
    keyword whole else white
    keyword whole for white
    keyword whole goto white
    keyword whole if white
    keyword whole !if white
    keyword whole return white
    keyword whole sizeof white
    keyword whole static white
    keyword whole struct white
    keyword whole switch white
    keyword whole typedef white
    keyword whole while white
    keyword whole typecast white
    keyword whole delete white
    keyword whole private white
    keyword whole protected white
    keyword whole public white
    keyword whole namespace white
    keyword whole implements white

    keyword whole EXIT_BLOCK white
    keyword whole ERROR_BLOCK white
    keyword whole EXECUTE_ERROR_BLOCK white

    keyword whole and white
    keyword whole or white
    keyword whole xor white


    keyword whole Char_Type yellow
    keyword whole UChar_Type yellow
    keyword whole Short_Type yellow
    keyword whole UShort_Type yellow
    keyword whole Integer_Type yellow
    keyword whole UInteger_Type yellow
    keyword whole Long_Type yellow
    keyword whole ULong_Type yellow
    keyword whole Float_Type yellow
    keyword whole Double_Type yellow
    keyword whole Complex_Type yellow
    keyword whole String_Type yellow
    keyword whole BString_Type yellow
    keyword whole Struct_Type yellow
    keyword whole Ref_Type yellow
    keyword whole Null_Type yellow
    keyword whole Array_Type yellow
    keyword whole DataType_Type yellow
    keyword whole Assoc_Type yellow

    keyword '\\\{"abtnvfr\}' brightgreen
    keyword '\\\{0123\}\{01234567\}\{01234567\}' brightgreen
    keyword '\\'' brightgreen
    keyword '\\\\' brightgreen
    keyword '\\0' brightgreen
    keyword '\{\s!"#$%&()\*\+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[]^_`abcdefghijklmnopqrstuvwxyz{|}~���������������������������������������������������������������������������������������������\}' brightgreen

    keyword > white
    keyword < white
    keyword \+ white
    keyword - white
    keyword \* white
    keyword / white
    keyword = white
    keyword != white
    keyword == white
    keyword ; white
    keyword { brightcyan
    keyword } brightcyan
    keyword ( brightcyan
    keyword ) brightcyan
    keyword [ brightcyan
    keyword ] brightcyan
    keyword , brightcyan
    keyword : brightcyan
context % \n brown
    spellcheck
context linestart # \n brightred
    keyword \\\n yellow
    keyword /\**\*/ brown
    keyword "+" red
    keyword <+> red
context " " green
    spellcheck
    keyword \\" brightgreen
    keyword \\\n brightgreen
    keyword %% brightgreen
    keyword %\[#0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[L\]\{eEfgGoxX\} brightgreen
    keyword %\[0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[hl\]\{diuxX\} brightgreen
    keyword %\[hl\]n brightgreen
    keyword %\[.\]\[0123456789\]s brightgreen
    keyword %[*] brightgreen
    keyword %c brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
    keyword \\\\ brightgreen
    keyword \\' brightgreen
    keyword \\\{abtnvfr\} brightgreen
PK[1f6M�'�'
sql.syntaxnu�[���# SQL syntax highlighting for CoolEdit
# by Walery Studennikov <despair@sama.ru>

caseinsensitive

context default
    keyword whole abort yellow
    keyword whole absolute yellow
    keyword whole action yellow
    keyword whole ada yellow
    keyword whole add yellow
    keyword whole all yellow
    keyword whole allocate yellow
    keyword whole alter yellow
    keyword whole and yellow
    keyword whole any yellow
    keyword whole are yellow
    keyword whole as yellow
    keyword whole asc yellow
    keyword whole assertion yellow
    keyword whole at yellow
    keyword whole authorization yellow
    keyword whole auto_increment yellow
    keyword whole begin yellow
    keyword whole between yellow
    keyword whole bigint yellow
    keyword whole bit yellow
    keyword whole bit_length yellow
    keyword whole blob yellow
    keyword whole both yellow
    keyword whole by yellow
    keyword whole cascade yellow
    keyword whole cascaded yellow
    keyword whole case yellow
    keyword whole cast yellow
    keyword whole catalog yellow
    keyword whole char yellow
    keyword whole char_length yellow
    keyword whole character yellow
    keyword whole character_length yellow
    keyword whole check yellow
    keyword whole close yellow
    keyword whole coalesce yellow
    keyword whole collate yellow
    keyword whole collation yellow
    keyword whole column yellow
    keyword whole commit yellow
    keyword whole compile yellow
    keyword whole connect yellow
    keyword whole connection yellow
    keyword whole constraint yellow
    keyword whole constraint yellow
    keyword whole constraints yellow
    keyword whole continue yellow
    keyword whole copy yellow
    keyword whole corresponding yellow
    keyword whole create yellow
    keyword whole cross yellow
    keyword whole current yellow
    keyword whole current_date yellow
    keyword whole current_time yellow
    keyword whole current_timestamp yellow
    keyword whole current_user yellow
    keyword whole cursor yellow
    keyword whole database yellow
    keyword whole date yellow
    keyword whole datetime yellow
    keyword whole day yellow
    keyword whole deallocate yellow
    keyword whole dec yellow
    keyword whole decimal yellow
    keyword whole declare yellow
    keyword whole default yellow
    keyword whole deferrable yellow
    keyword whole deferred yellow
    keyword whole delete yellow
    keyword whole desc yellow
    keyword whole describe yellow
    keyword whole descriptor yellow
    keyword whole diagnostics yellow
    keyword whole disconnect yellow
    keyword whole distinct yellow
    keyword whole domain yellow
    keyword whole double yellow
    keyword whole drop yellow
    keyword whole else yellow
    keyword whole encoding yellow
    keyword whole end yellow
    keyword whole end-exec yellow
    keyword whole enum yellow
    keyword whole escape yellow
    keyword whole except yellow
    keyword whole exception yellow
    keyword whole exec yellow
    keyword whole execute yellow
    keyword whole exists yellow
    keyword whole external yellow
    keyword whole extract yellow
    keyword whole false yellow
    keyword whole fetch yellow
    keyword whole first yellow
    keyword whole float yellow
    keyword whole for yellow
    keyword whole foreign yellow
    keyword whole fortran yellow
    keyword whole found yellow
    keyword whole from yellow
    keyword whole full yellow
    keyword whole get yellow
    keyword whole global yellow
    keyword whole go yellow
    keyword whole goto yellow
    keyword whole grant yellow
    keyword whole group yellow
    keyword whole having yellow
    keyword whole hour yellow
    keyword whole identity yellow
    keyword whole if yellow
    keyword whole immediate yellow
    keyword whole in yellow
    keyword whole include yellow
    keyword whole index yellow
    keyword whole indicator yellow
    keyword whole initially yellow
    keyword whole inner yellow
    keyword whole input yellow
    keyword whole insensitive yellow
    keyword whole insert yellow
    keyword whole int yellow
    keyword whole integer yellow
    keyword whole intersect yellow
    keyword whole interval yellow
    keyword whole into yellow
    keyword whole is yellow
    keyword whole isolation yellow
    keyword whole join yellow
    keyword whole key yellow
    keyword whole key yellow
    keyword whole language yellow
    keyword whole last yellow
    keyword whole leading yellow
    keyword whole left yellow
    keyword whole level yellow
    keyword whole like yellow
    keyword whole local yellow
    keyword whole lock yellow
    keyword whole longblob yellow
    keyword whole longtext yellow
    keyword whole loop yellow
    keyword whole match yellow
    keyword whole mediumblob yellow
    keyword whole mediumint yellow
    keyword whole mediumtext yellow
    keyword whole merge yellow
    keyword whole minute yellow
    keyword whole minus yellow
    keyword whole module yellow
    keyword whole month yellow
    keyword whole names yellow
    keyword whole national yellow
    keyword whole natural yellow
    keyword whole nchar yellow
    keyword whole next yellow
    keyword whole no yellow
    keyword whole none yellow
    keyword whole not yellow
    keyword whole null yellow
    keyword whole nullif yellow
    keyword whole numeric yellow
    keyword whole octet_length yellow
    keyword whole of yellow
    keyword whole offline yellow
    keyword whole on yellow
    keyword whole online yellow
    keyword whole only yellow
    keyword whole open yellow
    keyword whole option yellow
    keyword whole or yellow
    keyword whole order yellow
    keyword whole outer yellow
    keyword whole output yellow
    keyword whole overlaps yellow
    keyword whole pad yellow
    keyword whole partial yellow
    keyword whole pascal yellow
    keyword whole position yellow
    keyword whole precision yellow
    keyword whole prepare yellow
    keyword whole preserve yellow
    keyword whole primary yellow
    keyword whole primary yellow
    keyword whole prior yellow
    keyword whole privileges yellow
    keyword whole procedure yellow
    keyword whole public yellow
    keyword whole read yellow
    keyword whole real yellow
    keyword whole rebuild yellow
    keyword whole references yellow
    keyword whole relative yellow
    keyword whole replace yellow
    keyword whole restrict yellow
    keyword whole revoke yellow
    keyword whole right yellow
    keyword whole rollback yellow
    keyword whole rows yellow
    keyword whole schema yellow
    keyword whole scroll yellow
    keyword whole second yellow
    keyword whole section yellow
    keyword whole select yellow
    keyword whole sequence yellow
    keyword whole session yellow
    keyword whole session_user yellow
    keyword whole set yellow
    keyword whole size yellow
    keyword whole smallint yellow
    keyword whole some yellow
    keyword whole space yellow
    keyword whole sql yellow
    keyword whole sqlca yellow
    keyword whole sqlstate yellow
    keyword whole sqlwarning yellow
    keyword whole substring yellow
    keyword whole system_user yellow
    keyword whole table yellow
    keyword whole tablespace yellow
    keyword whole template yellow
    keyword whole temporary yellow
    keyword whole text yellow
    keyword whole then yellow
    keyword whole time yellow
    keyword whole truncate yellow
    keyword whole timestamp yellow
    keyword whole timezone_hour yellow
    keyword whole timezone_minute yellow
    keyword whole tinyblob yellow
    keyword whole tinyint yellow
    keyword whole tinytext yellow
    keyword whole to yellow
    keyword whole trailing yellow
    keyword whole transaction yellow
    keyword whole translation yellow
    keyword whole trigger yellow
    keyword whole trim yellow
    keyword whole true yellow
    keyword whole type yellow
    keyword whole union yellow
    keyword whole unique yellow
    keyword whole unknown yellow
    keyword whole unsigned yellow
    keyword whole update yellow
    keyword whole usage yellow
    keyword whole use yellow
    keyword whole user yellow
    keyword whole using yellow
    keyword whole value yellow
    keyword whole values yellow
    keyword whole varchar yellow
    keyword whole varying yellow
    keyword whole view yellow
    keyword whole when yellow
    keyword whole whenever yellow
    keyword whole where yellow
    keyword whole while yellow
    keyword whole with yellow
    keyword whole work yellow
    keyword whole write yellow
    keyword whole year yellow
    keyword whole zone yellow

    keyword /\* brown
    keyword \*/ brown
    keyword -- brown

    keyword > brightcyan
    keyword < brightcyan
    keyword \+ brightcyan
    keyword - brightcyan
    keyword \* brightcyan
    keyword / brightcyan
    keyword % brightcyan
    keyword = brightcyan
    keyword ( brightcyan
    keyword ) brightcyan
    keyword , brightcyan
    keyword ; brightcyan
    keyword . white

# PostgreSQL specific
    keyword aggregate yellow
    keyword checkpoint yellow
    keyword cluster yellow
    keyword conversion yellow
    keyword listen yellow
    keyword notify yellow
    keyword operator yellow
    keyword reindex yellow
    keyword savepoint yellow
    keyword unlisten yellow
    keyword vacuum yellow

    keyword createdb white
    keyword createuser white
    keyword nocreatedb white
    keyword nocreateuser white
    keyword password white
    keyword sysid white

    keyword currval white
    keyword nextval white
    keyword pg_database white
    keyword pg_group white
    keyword pg_shadow white
    keyword setval white
    keyword stdin white

# PostgreSQL sequences
    keyword cache white
    keyword increment white
    keyword maxvalue white
    keyword minvalue white
    keyword start white

# MySQL comment
context linestart # \n brown
    spellcheck

# ANSI SQL comment
context exclusive -- \n brown
    spellcheck

context exclusive /\* \*/ brown
    spellcheck

context ' ' green
    keyword \\' green

context " " green
    keyword \\" green

# Beckticks are used to escape reserved words in MySQL
context ` ` cyan
    keyword . white
PK[�AL���debian-description.syntaxnu�[���context default
    keyword linestart Format green
    keyword linestart Source brightmagenta
    keyword linestart Version yellow
    keyword linestart Binary brightgreen
    keyword linestart Maintainer brightmagenta
    keyword <*@*> brightred
    keyword linestart Architecture cyan
    keyword linestart Standards-Version brightmagenta
    keyword linestart Build-Depends green
    keyword linestart Files green

context --- \n                brightred
    spellcheck
PK[}5�  	go.syntaxnu�[���context default
# keywords
	keyword whole break yellow
	keyword whole case yellow
	keyword whole chan yellow
	keyword whole const yellow
	keyword whole continue yellow
	keyword whole default yellow
	keyword whole defer yellow
	keyword whole else yellow
	keyword whole fallthrough yellow
	keyword whole for yellow
	keyword whole func yellow
	keyword whole go yellow
	keyword whole goto yellow
	keyword whole if yellow
	keyword whole import yellow
	keyword whole interface yellow
	keyword whole map yellow
	keyword whole package yellow
	keyword whole range yellow
	keyword whole return yellow
	keyword whole select yellow
	keyword whole struct yellow
	keyword whole switch yellow
	keyword whole type yellow
	keyword whole var yellow
# builtin types
	keyword whole uint8 brightgreen
	keyword whole uint16 brightgreen
	keyword whole uint32 brightgreen
	keyword whole uint64 brightgreen
	keyword whole int8 brightgreen
	keyword whole int16 brightgreen
	keyword whole int32 brightgreen
	keyword whole int64 brightgreen
	keyword whole float32 brightgreen
	keyword whole float64 brightgreen
	keyword whole byte brightgreen
	keyword whole uint brightgreen
	keyword whole int brightgreen
	keyword whole float brightgreen
	keyword whole uintptr brightgreen
	keyword whole string brightgreen
	keyword whole bool brightgreen
	keyword whole time brightgreen
# builtin functions
	keyword whole nil brown
	keyword whole true brown
	keyword whole false brown
	keyword whole iota brown
	keyword whole cap brown
	keyword whole close brown
	keyword whole closed brown
	keyword whole len brown
	keyword whole make brown
	keyword whole new brown
	keyword whole panic brown
	keyword whole panicln brown
	keyword whole print brown
	keyword whole println brown
# builtin packages
	keyword whole tar brightgreen
	keyword whole zip brightgreen
	keyword whole bufio brightgreen
	keyword whole bytes brightgreen
	keyword whole cmd brightgreen
	keyword whole compress brightgreen
	keyword whole container brightgreen
	keyword whole crypto brightgreen
	keyword whole database brightgreen
	keyword whole debug brightgreen
	keyword whole encoding brightgreen
	keyword whole errors brightgreen
	keyword whole expvar brightgreen
	keyword whole flag brightgreen
	keyword whole fmt brightgreen
	keyword whole hash brightgreen
	keyword whole html brightgreen
	keyword whole image brightgreen
	keyword whole suffixarray brightgreen
	keyword whole race  brightgreen
	keyword whole singleflight brightgreen
	keyword whole syscall brightgreen
	keyword whole testenv brightgreen
	keyword whole trace brightgreen
	keyword whole io brightgreen
	keyword whole log brightgreen
	keyword whole math brightgreen
	keyword whole mime brightgreen
	keyword whole net brightgreen
	keyword whole os brightgreen
	keyword whole path brightgreen
	keyword whole reflect brightgreen
	keyword whole regexp brightgreen
	keyword whole runtime brightgreen
	keyword whole sort brightgreen
	keyword whole strconv brightgreen
	keyword whole strings brightgreen
	keyword whole sync brightgreen
	keyword whole testing brightgreen
	keyword whole text brightgreen
	keyword whole time brightgreen
	keyword whole unicode brightgreen
	keyword whole unsafe brightgreen
	keyword whole vendor brightgreen
	keyword whole unicode brightgreen
# special functions
	keyword whole init brown
	keyword whole main brown
# comment chars
	keyword /\* green
	keyword \*/ green
	keyword // green
# punctuation, operator chars
	keyword <- brightmagenta
	keyword \+ brightcyan
	keyword & brightcyan
	keyword ( brightcyan
	keyword ) brightcyan
	keyword - brightcyan
	keyword | brightcyan
	keyword < brightcyan
	keyword [ brightcyan
	keyword ] brightcyan
	keyword \* brightcyan
	keyword ^ brightcyan
	keyword > brightcyan
	keyword { brightcyan
	keyword } brightcyan
	keyword / brightcyan
	keyword = brightcyan
	keyword , brightcyan
	keyword ; brightcyan
	keyword % brightcyan
	keyword ! brightcyan
	keyword . brightcyan
	keyword : brightcyan

# comment
context exclusive /\* \*/ brown
context exclusive // \n brown

# char, raw string, string
context ' ' gray
	keyword \\\{abfnrtv\\'"\} white
	keyword \\\{0123\}\{01234567\}\{01234567\} white
	keyword \\x\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} white
	keyword \\u\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} white
	keyword \\U\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} white
context ` ` green
context " " green
	spellcheck
	keyword \\\{abfnrtv\\'"\} brightgreen
	keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
	keyword \\x\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} brightgreen
	keyword \\u\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} brightgreen
	keyword \\U\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} brightgreen
PK[�'�\����cmake.syntaxnu�[���# CMake syntax highlighting
#
# Author: Henrik Pauli <henrik.pauli@uhusystems.com>

wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ_-/\

caseinsensitive
context default

	keyword ( brightcyan
	keyword ) brightcyan

# cmake --help-command-list
	keyword whole add_compile_options brightred
	keyword whole add_custom_command brightred
	keyword whole add_custom_target brightred
	keyword whole add_definitions brightred
	keyword whole add_dependencies brightred
	keyword whole add_executable brightred
	keyword whole add_library brightred
	keyword whole add_subdirectory brightred
	keyword whole add_test brightred
	keyword whole aux_source_directory brightred
	keyword whole break brightred
	keyword whole build_command brightred
	keyword whole cmake_host_system_information brightred
	keyword whole cmake_minimum_required brightred
	keyword whole cmake_policy brightred
	keyword whole configure_file brightred
	keyword whole create_test_sourcelist brightred
	keyword whole define_property brightred
	keyword whole else brightred
	keyword whole elseif brightred
	keyword whole enable_language brightred
	keyword whole enable_testing brightred
	keyword whole endforeach brightred
	keyword whole endfunction brightred
	keyword whole endif brightred
	keyword whole endmacro brightred
	keyword whole endwhile brightred
	keyword whole execute_process brightred
	keyword whole export brightred
	keyword whole file brightred
	keyword whole find_file brightred
	keyword whole find_library brightred
	keyword whole find_package brightred
	keyword whole find_path brightred
	keyword whole find_program brightred
	keyword whole fltk_wrap_ui brightred
	keyword whole foreach brightred
	keyword whole function brightred
	keyword whole get_cmake_property brightred
	keyword whole get_directory_property brightred
	keyword whole get_filename_component brightred
	keyword whole get_property brightred
	keyword whole get_source_file_property brightred
	keyword whole get_target_property brightred
	keyword whole get_test_property brightred
	keyword whole if brightred
	keyword whole include brightred
	keyword whole include_directories brightred
	keyword whole include_external_msproject brightred
	keyword whole include_regular_expression brightred
	keyword whole install brightred
	keyword whole link_directories brightred
	keyword whole list brightred
	keyword whole load_cache brightred
	keyword whole load_command brightred
	keyword whole macro brightred
	keyword whole mark_as_advanced brightred
	keyword whole math brightred
	keyword whole message brightred
	keyword whole option brightred
	keyword whole project brightred
	keyword whole qt_wrap_cpp brightred
	keyword whole qt_wrap_ui brightred
	keyword whole remove_definitions brightred
	keyword whole return brightred
	keyword whole separate_arguments brightred
	keyword whole set brightred
	keyword whole set_directory_properties brightred
	keyword whole set_property brightred
	keyword whole set_source_files_properties brightred
	keyword whole set_target_properties brightred
	keyword whole set_tests_properties brightred
	keyword whole site_name brightred
	keyword whole source_group brightred
	keyword whole string brightred
	keyword whole target_compile_definitions brightred
	keyword whole target_compile_options brightred
	keyword whole target_include_directories brightred
	keyword whole target_link_libraries brightred
	keyword whole try_compile brightred
	keyword whole try_run brightred
	keyword whole unset brightred
	keyword whole variable_watch brightred
	keyword whole while brightred

# compatibility commands
	keyword whole build_name red
	keyword whole exec_program red
	keyword whole export_library_dependencies red
	keyword whole install_files red
	keyword whole install_programs red
	keyword whole install_targets red
	keyword whole link_libraries red
	keyword whole make_directory red
	keyword whole output_required_files red
	keyword whole remove red
	keyword whole subdir_depends red
	keyword whole subdirs red
	keyword whole use_mangled_mesa red
	keyword whole utility_source red
	keyword whole variable_requires red
	keyword whole write_file red

# cmake --help-property-list
	keyword whole ALLOW_DUPLICATE_CUSTOM_TARGETS white
	keyword whole AUTOMOC_TARGETS_FOLDER white
	keyword whole DEBUG_CONFIGURATIONS white
	keyword whole DISABLED_FEATURES white
	keyword whole ENABLED_FEATURES white
	keyword whole ENABLED_LANGUAGES white
	keyword whole FIND_LIBRARY_USE_LIB64_PATHS white
	keyword whole FIND_LIBRARY_USE_OPENBSD_VERSIONING white
	keyword whole GLOBAL_DEPENDS_DEBUG_MODE white
	keyword whole GLOBAL_DEPENDS_NO_CYCLES white
	keyword whole IN_TRY_COMPILE white
	keyword whole PACKAGES_FOUND white
	keyword whole PACKAGES_NOT_FOUND white
	keyword whole PREDEFINED_TARGETS_FOLDER white
	keyword whole REPORT_UNDEFINED_PROPERTIES white
	keyword whole RULE_LAUNCH_COMPILE white
	keyword whole RULE_LAUNCH_CUSTOM white
	keyword whole RULE_LAUNCH_LINK white
	keyword whole RULE_MESSAGES white
	keyword whole TARGET_ARCHIVES_MAY_BE_SHARED_LIBS white
	keyword whole TARGET_SUPPORTS_SHARED_LIBS white
	keyword whole USE_FOLDERS white
	keyword whole __CMAKE_DELETE_CACHE_CHANGE_VARS_ white
	keyword whole ADDITIONAL_MAKE_CLEAN_FILES white
	keyword whole CACHE_VARIABLES white
	keyword whole CLEAN_NO_CUSTOM white
	keyword whole COMPILE_DEFINITIONS white
	keyword whole COMPILE_DEFINITIONS_+ white
	keyword whole COMPILE_OPTIONS white
	keyword whole DEFINITIONS white
	keyword whole EXCLUDE_FROM_ALL white
	keyword whole IMPLICIT_DEPENDS_INCLUDE_TRANSFORM white
	keyword whole INCLUDE_DIRECTORIES white
	keyword whole INCLUDE_REGULAR_EXPRESSION white
	keyword whole INTERPROCEDURAL_OPTIMIZATION white
	keyword whole INTERPROCEDURAL_OPTIMIZATION_+ white
	keyword whole LINK_DIRECTORIES white
	keyword whole LISTFILE_STACK white
	keyword whole MACROS white
	keyword whole PARENT_DIRECTORY white
	keyword whole RULE_LAUNCH_COMPILE white
	keyword whole RULE_LAUNCH_CUSTOM white
	keyword whole RULE_LAUNCH_LINK white
	keyword whole TEST_INCLUDE_FILE white
	keyword whole VARIABLES white
	keyword whole VS_GLOBAL_SECTION_POST_+ white
	keyword whole VS_GLOBAL_SECTION_PRE_+ white
	keyword whole +_OUTPUT_NAME white
	keyword whole +_POSTFIX white
	keyword whole +_VISIBILITY_PRESET white
	keyword whole ALIASED_TARGET white
	keyword whole ARCHIVE_OUTPUT_DIRECTORY white
	keyword whole ARCHIVE_OUTPUT_DIRECTORY_+ white
	keyword whole ARCHIVE_OUTPUT_NAME white
	keyword whole ARCHIVE_OUTPUT_NAME_+ white
	keyword whole AUTOMOC white
	keyword whole AUTOMOC_MOC_OPTIONS white
	keyword whole BUILD_WITH_INSTALL_RPATH white
	keyword whole BUNDLE white
	keyword whole BUNDLE_EXTENSION white
	keyword whole COMPATIBLE_INTERFACE_BOOL white
	keyword whole COMPATIBLE_INTERFACE_STRING white
	keyword whole COMPILE_DEFINITIONS white
	keyword whole COMPILE_DEFINITIONS_+ white
	keyword whole COMPILE_FLAGS white
	keyword whole COMPILE_OPTIONS white
	keyword whole DEBUG_POSTFIX white
	keyword whole DEFINE_SYMBOL white
	keyword whole ENABLE_EXPORTS white
	keyword whole EXCLUDE_FROM_ALL white
	keyword whole EXCLUDE_FROM_DEFAULT_BUILD white
	keyword whole EXCLUDE_FROM_DEFAULT_BUILD_+ white
	keyword whole EXPORT_NAME white
	keyword whole EchoString white
	keyword whole FOLDER white
	keyword whole FRAMEWORK white
	keyword whole Fortran_FORMAT white
	keyword whole Fortran_MODULE_DIRECTORY white
	keyword whole GENERATOR_FILE_NAME white
	keyword whole GNUtoMS white
	keyword whole HAS_CXX white
	keyword whole IMPLICIT_DEPENDS_INCLUDE_TRANSFORM white
	keyword whole IMPORTED white
	keyword whole IMPORTED_CONFIGURATIONS white
	keyword whole IMPORTED_IMPLIB white
	keyword whole IMPORTED_IMPLIB_+ white
	keyword whole IMPORTED_LINK_DEPENDENT_LIBRARIES white
	keyword whole IMPORTED_LINK_DEPENDENT_LIBRARIES_+ white
	keyword whole IMPORTED_LINK_INTERFACE_LANGUAGES white
	keyword whole IMPORTED_LINK_INTERFACE_LANGUAGES_+ white
	keyword whole IMPORTED_LINK_INTERFACE_LIBRARIES white
	keyword whole IMPORTED_LINK_INTERFACE_LIBRARIES_+ white
	keyword whole IMPORTED_LINK_INTERFACE_MULTIPLICITY white
	keyword whole IMPORTED_LINK_INTERFACE_MULTIPLICITY_+ white
	keyword whole IMPORTED_LOCATION white
	keyword whole IMPORTED_LOCATION_+ white
	keyword whole IMPORTED_NO_SONAME white
	keyword whole IMPORTED_NO_SONAME_+ white
	keyword whole IMPORTED_SONAME white
	keyword whole IMPORTED_SONAME_+ white
	keyword whole IMPORT_PREFIX white
	keyword whole IMPORT_SUFFIX white
	keyword whole INCLUDE_DIRECTORIES white
	keyword whole INSTALL_NAME_DIR white
	keyword whole INSTALL_RPATH white
	keyword whole INSTALL_RPATH_USE_LINK_PATH white
	keyword whole INTERFACE_COMPILE_DEFINITIONS white
	keyword whole INTERFACE_COMPILE_OPTIONS white
	keyword whole INTERFACE_INCLUDE_DIRECTORIES white
	keyword whole INTERFACE_LINK_LIBRARIES white
	keyword whole INTERFACE_POSITION_INDEPENDENT_CODE white
	keyword whole INTERFACE_SYSTEM_INCLUDE_DIRECTORIES white
	keyword whole INTERPROCEDURAL_OPTIMIZATION white
	keyword whole INTERPROCEDURAL_OPTIMIZATION_+ white
	keyword whole LABELS white
	keyword whole LIBRARY_OUTPUT_DIRECTORY white
	keyword whole LIBRARY_OUTPUT_DIRECTORY_+ white
	keyword whole LIBRARY_OUTPUT_NAME white
	keyword whole LIBRARY_OUTPUT_NAME_+ white
	keyword whole LINKER_LANGUAGE white
	keyword whole LINK_DEPENDS white
	keyword whole LINK_DEPENDS_NO_SHARED white
	keyword whole LINK_FLAGS white
	keyword whole LINK_FLAGS_+ white
	keyword whole LINK_INTERFACE_LIBRARIES white
	keyword whole LINK_INTERFACE_LIBRARIES_+ white
	keyword whole LINK_INTERFACE_MULTIPLICITY white
	keyword whole LINK_INTERFACE_MULTIPLICITY_+ white
	keyword whole LINK_LIBRARIES white
	keyword whole LINK_SEARCH_END_STATIC white
	keyword whole LINK_SEARCH_START_STATIC white
	keyword whole LOCATION white
	keyword whole LOCATION_+ white
	keyword whole MACOSX_BUNDLE white
	keyword whole MACOSX_BUNDLE_INFO_PLIST white
	keyword whole MACOSX_FRAMEWORK_INFO_PLIST white
	keyword whole MACOSX_RPATH white
	keyword whole MAP_IMPORTED_CONFIG_+ white
	keyword whole NAME white
	keyword whole NO_SONAME white
	keyword whole OSX_ARCHITECTURES white
	keyword whole OSX_ARCHITECTURES_+ white
	keyword whole OUTPUT_NAME white
	keyword whole OUTPUT_NAME_+ white
	keyword whole PDB_NAME white
	keyword whole PDB_NAME_+ white
	keyword whole PDB_OUTPUT_DIRECTORY white
	keyword whole PDB_OUTPUT_DIRECTORY_+ white
	keyword whole POSITION_INDEPENDENT_CODE white
	keyword whole POST_INSTALL_SCRIPT white
	keyword whole PREFIX white
	keyword whole PRE_INSTALL_SCRIPT white
	keyword whole PRIVATE_HEADER white
	keyword whole PROJECT_LABEL white
	keyword whole PUBLIC_HEADER white
	keyword whole RESOURCE white
	keyword whole RULE_LAUNCH_COMPILE white
	keyword whole RULE_LAUNCH_CUSTOM white
	keyword whole RULE_LAUNCH_LINK white
	keyword whole RUNTIME_OUTPUT_DIRECTORY white
	keyword whole RUNTIME_OUTPUT_DIRECTORY_+ white
	keyword whole RUNTIME_OUTPUT_NAME white
	keyword whole RUNTIME_OUTPUT_NAME_+ white
	keyword whole SKIP_BUILD_RPATH white
	keyword whole SOURCES white
	keyword whole SOVERSION white
	keyword whole STATIC_LIBRARY_FLAGS white
	keyword whole STATIC_LIBRARY_FLAGS_+ white
	keyword whole SUFFIX white
	keyword whole TYPE white
	keyword whole VERSION white
	keyword whole VISIBILITY_INLINES_HIDDEN white
	keyword whole VS_DOTNET_REFERENCES white
	keyword whole VS_DOTNET_TARGET_FRAMEWORK_VERSION white
	keyword whole VS_GLOBAL_+ white
	keyword whole VS_GLOBAL_KEYWORD white
	keyword whole VS_GLOBAL_PROJECT_TYPES white
	keyword whole VS_GLOBAL_ROOTNAMESPACE white
	keyword whole VS_KEYWORD white
	keyword whole VS_SCC_AUXPATH white
	keyword whole VS_SCC_LOCALPATH white
	keyword whole VS_SCC_PROJECTNAME white
	keyword whole VS_SCC_PROVIDER white
	keyword whole VS_WINRT_EXTENSIONS white
	keyword whole VS_WINRT_REFERENCES white
	keyword whole WIN32_EXECUTABLE white
	keyword whole XCODE_ATTRIBUTE_+ white
	keyword whole ATTACHED_FILES white
	keyword whole ATTACHED_FILES_ON_FAIL white
	keyword whole COST white
	keyword whole DEPENDS white
	keyword whole ENVIRONMENT white
	keyword whole FAIL_REGULAR_EXPRESSION white
	keyword whole LABELS white
	keyword whole MEASUREMENT white
	keyword whole PASS_REGULAR_EXPRESSION white
	keyword whole PROCESSORS white
	keyword whole REQUIRED_FILES white
	keyword whole RESOURCE_LOCK white
	keyword whole RUN_SERIAL white
	keyword whole TIMEOUT white
	keyword whole WILL_FAIL white
	keyword whole WORKING_DIRECTORY white
	keyword whole ABSTRACT white
	keyword whole COMPILE_DEFINITIONS white
	keyword whole COMPILE_DEFINITIONS_+ white
	keyword whole COMPILE_FLAGS white
	keyword whole EXTERNAL_OBJECT white
	keyword whole Fortran_FORMAT white
	keyword whole GENERATED white
	keyword whole HEADER_FILE_ONLY white
	keyword whole KEEP_EXTENSION white
	keyword whole LABELS white
	keyword whole LANGUAGE white
	keyword whole LOCATION white
	keyword whole MACOSX_PACKAGE_LOCATION white
	keyword whole OBJECT_DEPENDS white
	keyword whole OBJECT_OUTPUTS white
	keyword whole SYMBOLIC white
	keyword whole WRAP_EXCLUDE white
	keyword whole ADVANCED white
	keyword whole HELPSTRING white
	keyword whole MODIFIED white
	keyword whole STRINGS white
	keyword whole TYPE white
	keyword whole VALUE white

# cmake --help-module-list
	keyword whole AddFileDependencies brightmagenta
	keyword whole BundleUtilities brightmagenta
	keyword whole CMakeAddFortranSubdirectory brightmagenta
	keyword whole CMakeBackwardCompatibilityCXX brightmagenta
	keyword whole CMakeDependentOption brightmagenta
	keyword whole CMakeDetermineVSServicePack brightmagenta
	keyword whole CMakeExpandImportedTargets brightmagenta
	keyword whole CMakeFindFrameworks brightmagenta
	keyword whole CMakeFindPackageMode brightmagenta
	keyword whole CMakeForceCompiler brightmagenta
	keyword whole CMakeGraphVizOptions brightmagenta
	keyword whole CMakePackageConfigHelpers brightmagenta
	keyword whole CMakeParseArguments brightmagenta
	keyword whole CMakePrintHelpers brightmagenta
	keyword whole CMakePrintSystemInformation brightmagenta
	keyword whole CMakePushCheckState brightmagenta
	keyword whole CMakeVerifyManifest brightmagenta
	keyword whole CPack brightmagenta
	keyword whole CPackBundle brightmagenta
	keyword whole CPackComponent brightmagenta
	keyword whole CPackCygwin brightmagenta
	keyword whole CPackDMG brightmagenta
	keyword whole CPackDeb brightmagenta
	keyword whole CPackNSIS brightmagenta
	keyword whole CPackPackageMaker brightmagenta
	keyword whole CPackRPM brightmagenta
	keyword whole CPackWIX brightmagenta
	keyword whole CTest brightmagenta
	keyword whole CTestScriptMode brightmagenta
	keyword whole CTestUseLaunchers brightmagenta
	keyword whole CheckCCompilerFlag brightmagenta
	keyword whole CheckCSourceCompiles brightmagenta
	keyword whole CheckCSourceRuns brightmagenta
	keyword whole CheckCXXCompilerFlag brightmagenta
	keyword whole CheckCXXSourceCompiles brightmagenta
	keyword whole CheckCXXSourceRuns brightmagenta
	keyword whole CheckCXXSymbolExists brightmagenta
	keyword whole CheckFortranFunctionExists brightmagenta
	keyword whole CheckFunctionExists brightmagenta
	keyword whole CheckIncludeFile brightmagenta
	keyword whole CheckIncludeFileCXX brightmagenta
	keyword whole CheckIncludeFiles brightmagenta
	keyword whole CheckLanguage brightmagenta
	keyword whole CheckLibraryExists brightmagenta
	keyword whole CheckPrototypeDefinition brightmagenta
	keyword whole CheckStructHasMember brightmagenta
	keyword whole CheckSymbolExists brightmagenta
	keyword whole CheckTypeSize brightmagenta
	keyword whole CheckVariableExists brightmagenta
	keyword whole Dart brightmagenta
	keyword whole DeployQt4 brightmagenta
	keyword whole Documentation brightmagenta
	keyword whole ExternalData brightmagenta
	keyword whole ExternalProject brightmagenta
	keyword whole FLTKConfig brightmagenta
	keyword whole FeatureSummary brightmagenta
	keyword whole FindALSA brightmagenta
	keyword whole FindASPELL brightmagenta
	keyword whole FindAVIFile brightmagenta
	keyword whole FindArmadillo brightmagenta
	keyword whole FindBISON brightmagenta
	keyword whole FindBLAS brightmagenta
	keyword whole FindBZip2 brightmagenta
	keyword whole FindBoost brightmagenta
	keyword whole FindBullet brightmagenta
	keyword whole FindCABLE brightmagenta
	keyword whole FindCUDA brightmagenta
	keyword whole FindCURL brightmagenta
	keyword whole FindCVS brightmagenta
	keyword whole FindCoin3D brightmagenta
	keyword whole FindCups brightmagenta
	keyword whole FindCurses brightmagenta
	keyword whole FindCxxTest brightmagenta
	keyword whole FindCygwin brightmagenta
	keyword whole FindDCMTK brightmagenta
	keyword whole FindDart brightmagenta
	keyword whole FindDevIL brightmagenta
	keyword whole FindDoxygen brightmagenta
	keyword whole FindEXPAT brightmagenta
	keyword whole FindFLEX brightmagenta
	keyword whole FindFLTK brightmagenta
	keyword whole FindFLTK2 brightmagenta
	keyword whole FindFreetype brightmagenta
	keyword whole FindGCCXML brightmagenta
	keyword whole FindGDAL brightmagenta
	keyword whole FindGIF brightmagenta
	keyword whole FindGLEW brightmagenta
	keyword whole FindGLUT brightmagenta
	keyword whole FindGTK brightmagenta
	keyword whole FindGTK2 brightmagenta
	keyword whole FindGTest brightmagenta
	keyword whole FindGettext brightmagenta
	keyword whole FindGit brightmagenta
	keyword whole FindGnuTLS brightmagenta
	keyword whole FindGnuplot brightmagenta
	keyword whole FindHDF5 brightmagenta
	keyword whole FindHSPELL brightmagenta
	keyword whole FindHTMLHelp brightmagenta
	keyword whole FindHg brightmagenta
	keyword whole FindITK brightmagenta
	keyword whole FindIcotool brightmagenta
	keyword whole FindImageMagick brightmagenta
	keyword whole FindJNI brightmagenta
	keyword whole FindJPEG brightmagenta
	keyword whole FindJasper brightmagenta
	keyword whole FindJava brightmagenta
	keyword whole FindKDE3 brightmagenta
	keyword whole FindKDE4 brightmagenta
	keyword whole FindLAPACK brightmagenta
	keyword whole FindLATEX brightmagenta
	keyword whole FindLibArchive brightmagenta
	keyword whole FindLibLZMA brightmagenta
	keyword whole FindLibXml2 brightmagenta
	keyword whole FindLibXslt brightmagenta
	keyword whole FindLua50 brightmagenta
	keyword whole FindLua51 brightmagenta
	keyword whole FindMFC brightmagenta
	keyword whole FindMPEG brightmagenta
	keyword whole FindMPEG2 brightmagenta
	keyword whole FindMPI brightmagenta
	keyword whole FindMatlab brightmagenta
	keyword whole FindMotif brightmagenta
	keyword whole FindOpenAL brightmagenta
	keyword whole FindOpenGL brightmagenta
	keyword whole FindOpenMP brightmagenta
	keyword whole FindOpenSSL brightmagenta
	keyword whole FindOpenSceneGraph brightmagenta
	keyword whole FindOpenThreads brightmagenta
	keyword whole FindPHP4 brightmagenta
	keyword whole FindPNG brightmagenta
	keyword whole FindPackageHandleStandardArgs brightmagenta
	keyword whole FindPackageMessage brightmagenta
	keyword whole FindPerl brightmagenta
	keyword whole FindPerlLibs brightmagenta
	keyword whole FindPhysFS brightmagenta
	keyword whole FindPike brightmagenta
	keyword whole FindPkgConfig brightmagenta
	keyword whole FindPostgreSQL brightmagenta
	keyword whole FindProducer brightmagenta
	keyword whole FindProtobuf brightmagenta
	keyword whole FindPythonInterp brightmagenta
	keyword whole FindPythonLibs brightmagenta
	keyword whole FindQt brightmagenta
	keyword whole FindQt3 brightmagenta
	keyword whole FindQt4 brightmagenta
	keyword whole FindQuickTime brightmagenta
	keyword whole FindRTI brightmagenta
	keyword whole FindRuby brightmagenta
	keyword whole FindSDL brightmagenta
	keyword whole FindSDL_image brightmagenta
	keyword whole FindSDL_mixer brightmagenta
	keyword whole FindSDL_net brightmagenta
	keyword whole FindSDL_sound brightmagenta
	keyword whole FindSDL_ttf brightmagenta
	keyword whole FindSWIG brightmagenta
	keyword whole FindSelfPackers brightmagenta
	keyword whole FindSquish brightmagenta
	keyword whole FindSubversion brightmagenta
	keyword whole FindTCL brightmagenta
	keyword whole FindTIFF brightmagenta
	keyword whole FindTclStub brightmagenta
	keyword whole FindTclsh brightmagenta
	keyword whole FindThreads brightmagenta
	keyword whole FindUnixCommands brightmagenta
	keyword whole FindVTK brightmagenta
	keyword whole FindWget brightmagenta
	keyword whole FindWish brightmagenta
	keyword whole FindX11 brightmagenta
	keyword whole FindXMLRPC brightmagenta
	keyword whole FindZLIB brightmagenta
	keyword whole Findlibproxy brightmagenta
	keyword whole Findosg brightmagenta
	keyword whole FindosgAnimation brightmagenta
	keyword whole FindosgDB brightmagenta
	keyword whole FindosgFX brightmagenta
	keyword whole FindosgGA brightmagenta
	keyword whole FindosgIntrospection brightmagenta
	keyword whole FindosgManipulator brightmagenta
	keyword whole FindosgParticle brightmagenta
	keyword whole FindosgPresentation brightmagenta
	keyword whole FindosgProducer brightmagenta
	keyword whole FindosgQt brightmagenta
	keyword whole FindosgShadow brightmagenta
	keyword whole FindosgSim brightmagenta
	keyword whole FindosgTerrain brightmagenta
	keyword whole FindosgText brightmagenta
	keyword whole FindosgUtil brightmagenta
	keyword whole FindosgViewer brightmagenta
	keyword whole FindosgVolume brightmagenta
	keyword whole FindosgWidget brightmagenta
	keyword whole Findosg_functions brightmagenta
	keyword whole FindwxWidgets brightmagenta
	keyword whole FindwxWindows brightmagenta
	keyword whole FortranCInterface brightmagenta
	keyword whole GNUInstallDirs brightmagenta
	keyword whole GenerateExportHeader brightmagenta
	keyword whole GetPrerequisites brightmagenta
	keyword whole InstallRequiredSystemLibraries brightmagenta
	keyword whole MacroAddFileDependencies brightmagenta
	keyword whole ProcessorCount brightmagenta
	keyword whole Qt4ConfigDependentSettings brightmagenta
	keyword whole Qt4Macros brightmagenta
	keyword whole SelectLibraryConfigurations brightmagenta
	keyword whole SquishTestScript brightmagenta
	keyword whole TestBigEndian brightmagenta
	keyword whole TestCXXAcceptsFlag brightmagenta
	keyword whole TestForANSIForScope brightmagenta
	keyword whole TestForANSIStreamHeaders brightmagenta
	keyword whole TestForSSTREAM brightmagenta
	keyword whole TestForSTDNamespace brightmagenta
	keyword whole UseEcos brightmagenta
	keyword whole UseJava brightmagenta
	keyword whole UseJavaClassFilelist brightmagenta
	keyword whole UseJavaSymlinks brightmagenta
	keyword whole UsePkgConfig brightmagenta
	keyword whole UseQt4 brightmagenta
	keyword whole UseSWIG brightmagenta
	keyword whole Use_wxWindows brightmagenta
	keyword whole UsewxWidgets brightmagenta
	keyword whole WriteBasicConfigVersionFile brightmagenta

# cmake --help-variable-list
	keyword whole CMAKE_AR brightgreen
	keyword whole CMAKE_ARGC brightgreen
	keyword whole CMAKE_ARGV0 brightgreen
	keyword whole CMAKE_BINARY_DIR brightgreen
	keyword whole CMAKE_BUILD_TOOL brightgreen
	keyword whole CMAKE_CACHEFILE_DIR brightgreen
	keyword whole CMAKE_CACHE_MAJOR_VERSION brightgreen
	keyword whole CMAKE_CACHE_MINOR_VERSION brightgreen
	keyword whole CMAKE_CACHE_PATCH_VERSION brightgreen
	keyword whole CMAKE_CFG_INTDIR brightgreen
	keyword whole CMAKE_COMMAND brightgreen
	keyword whole CMAKE_CROSSCOMPILING brightgreen
	keyword whole CMAKE_CTEST_COMMAND brightgreen
	keyword whole CMAKE_CURRENT_BINARY_DIR brightgreen
	keyword whole CMAKE_CURRENT_LIST_DIR brightgreen
	keyword whole CMAKE_CURRENT_LIST_FILE brightgreen
	keyword whole CMAKE_CURRENT_LIST_LINE brightgreen
	keyword whole CMAKE_CURRENT_SOURCE_DIR brightgreen
	keyword whole CMAKE_DL_LIBS brightgreen
	keyword whole CMAKE_EDIT_COMMAND brightgreen
	keyword whole CMAKE_EXECUTABLE_SUFFIX brightgreen
	keyword whole CMAKE_EXTRA_GENERATOR brightgreen
	keyword whole CMAKE_EXTRA_SHARED_LIBRARY_SUFFIXES brightgreen
	keyword whole CMAKE_GENERATOR brightgreen
	keyword whole CMAKE_GENERATOR_TOOLSET brightgreen
	keyword whole CMAKE_HOME_DIRECTORY brightgreen
	keyword whole CMAKE_IMPORT_LIBRARY_PREFIX brightgreen
	keyword whole CMAKE_IMPORT_LIBRARY_SUFFIX brightgreen
	keyword whole CMAKE_LINK_LIBRARY_SUFFIX brightgreen
	keyword whole CMAKE_MAJOR_VERSION brightgreen
	keyword whole CMAKE_MAKE_PROGRAM brightgreen
	keyword whole CMAKE_MINIMUM_REQUIRED_VERSION brightgreen
	keyword whole CMAKE_MINOR_VERSION brightgreen
	keyword whole CMAKE_PARENT_LIST_FILE brightgreen
	keyword whole CMAKE_PATCH_VERSION brightgreen
	keyword whole CMAKE_PROJECT_NAME brightgreen
	keyword whole CMAKE_RANLIB brightgreen
	keyword whole CMAKE_ROOT brightgreen
	keyword whole CMAKE_SCRIPT_MODE_FILE brightgreen
	keyword whole CMAKE_SHARED_LIBRARY_PREFIX brightgreen
	keyword whole CMAKE_SHARED_LIBRARY_SUFFIX brightgreen
	keyword whole CMAKE_SHARED_MODULE_PREFIX brightgreen
	keyword whole CMAKE_SHARED_MODULE_SUFFIX brightgreen
	keyword whole CMAKE_SIZEOF_VOID_P brightgreen
	keyword whole CMAKE_SKIP_RPATH brightgreen
	keyword whole CMAKE_SOURCE_DIR brightgreen
	keyword whole CMAKE_STANDARD_LIBRARIES brightgreen
	keyword whole CMAKE_STATIC_LIBRARY_PREFIX brightgreen
	keyword whole CMAKE_STATIC_LIBRARY_SUFFIX brightgreen
	keyword whole CMAKE_TWEAK_VERSION brightgreen
	keyword whole CMAKE_VERBOSE_MAKEFILE brightgreen
	keyword whole CMAKE_VERSION brightgreen
	keyword whole CMAKE_VS_PLATFORM_TOOLSET brightgreen
	keyword whole CMAKE_XCODE_PLATFORM_TOOLSET brightgreen
	keyword whole PROJECT_BINARY_DIR brightgreen
	keyword whole PROJECT_NAME brightgreen
	keyword whole PROJECT_SOURCE_DIR brightgreen
	keyword whole +_BINARY_DIR brightgreen
	keyword whole +_SOURCE_DIR brightgreen
	keyword whole BUILD_SHARED_LIBS brightgreen
	keyword whole CMAKE_ABSOLUTE_DESTINATION_FILES brightgreen
	keyword whole CMAKE_AUTOMOC_RELAXED_MODE brightgreen
	keyword whole CMAKE_BACKWARDS_COMPATIBILITY brightgreen
	keyword whole CMAKE_BUILD_TYPE brightgreen
	keyword whole CMAKE_COLOR_MAKEFILE brightgreen
	keyword whole CMAKE_CONFIGURATION_TYPES brightgreen
	keyword whole CMAKE_DEBUG_TARGET_PROPERTIES brightgreen
	keyword whole CMAKE_DISABLE_FIND_PACKAGE_+ brightgreen
	keyword whole CMAKE_ERROR_DEPRECATED brightgreen
	keyword whole CMAKE_ERROR_ON_ABSOLUTE_INSTALL_DESTINATION brightgreen
	keyword whole CMAKE_FIND_LIBRARY_PREFIXES brightgreen
	keyword whole CMAKE_FIND_LIBRARY_SUFFIXES brightgreen
	keyword whole CMAKE_FIND_PACKAGE_WARN_NO_MODULE brightgreen
	keyword whole CMAKE_IGNORE_PATH brightgreen
	keyword whole CMAKE_INCLUDE_PATH brightgreen
	keyword whole CMAKE_INSTALL_DEFAULT_COMPONENT_NAME brightgreen
	keyword whole CMAKE_INSTALL_PREFIX brightgreen
	keyword whole CMAKE_LIBRARY_PATH brightgreen
	keyword whole CMAKE_MFC_FLAG brightgreen
	keyword whole CMAKE_MODULE_PATH brightgreen
	keyword whole CMAKE_NOT_USING_CONFIG_FLAGS brightgreen
	keyword whole CMAKE_POLICY_DEFAULT_CMP+ brightgreen
	keyword whole CMAKE_PREFIX_PATH brightgreen
	keyword whole CMAKE_PROGRAM_PATH brightgreen
	keyword whole CMAKE_SKIP_INSTALL_ALL_DEPENDENCY brightgreen
	keyword whole CMAKE_SYSTEM_IGNORE_PATH brightgreen
	keyword whole CMAKE_SYSTEM_INCLUDE_PATH brightgreen
	keyword whole CMAKE_SYSTEM_LIBRARY_PATH brightgreen
	keyword whole CMAKE_SYSTEM_PREFIX_PATH brightgreen
	keyword whole CMAKE_SYSTEM_PROGRAM_PATH brightgreen
	keyword whole CMAKE_USER_MAKE_RULES_OVERRIDE brightgreen
	keyword whole CMAKE_WARN_DEPRECATED brightgreen
	keyword whole CMAKE_WARN_ON_ABSOLUTE_INSTALL_DESTINATION brightgreen
	keyword whole APPLE brightgreen
	keyword whole BORLAND brightgreen
	keyword whole CMAKE_CL_64 brightgreen
	keyword whole CMAKE_COMPILER_2005 brightgreen
	keyword whole CMAKE_HOST_APPLE brightgreen
	keyword whole CMAKE_HOST_SYSTEM brightgreen
	keyword whole CMAKE_HOST_SYSTEM_NAME brightgreen
	keyword whole CMAKE_HOST_SYSTEM_PROCESSOR brightgreen
	keyword whole CMAKE_HOST_SYSTEM_VERSION brightgreen
	keyword whole CMAKE_HOST_UNIX brightgreen
	keyword whole CMAKE_HOST_WIN32 brightgreen
	keyword whole CMAKE_LIBRARY_ARCHITECTURE brightgreen
	keyword whole CMAKE_LIBRARY_ARCHITECTURE_REGEX brightgreen
	keyword whole CMAKE_OBJECT_PATH_MAX brightgreen
	keyword whole CMAKE_SYSTEM brightgreen
	keyword whole CMAKE_SYSTEM_NAME brightgreen
	keyword whole CMAKE_SYSTEM_PROCESSOR brightgreen
	keyword whole CMAKE_SYSTEM_VERSION brightgreen
	keyword whole CYGWIN brightgreen
	keyword whole ENV brightgreen
	keyword whole MSVC brightgreen
	keyword whole MSVC10 brightgreen
	keyword whole MSVC11 brightgreen
	keyword whole MSVC12 brightgreen
	keyword whole MSVC60 brightgreen
	keyword whole MSVC70 brightgreen
	keyword whole MSVC71 brightgreen
	keyword whole MSVC80 brightgreen
	keyword whole MSVC90 brightgreen
	keyword whole MSVC_IDE brightgreen
	keyword whole MSVC_VERSION brightgreen
	keyword whole UNIX brightgreen
	keyword whole WIN32 brightgreen
	keyword whole XCODE_VERSION brightgreen
	keyword whole CMAKE_+_POSTFIX brightgreen
	keyword whole CMAKE_+_VISIBILITY_PRESET brightgreen
	keyword whole CMAKE_ARCHIVE_OUTPUT_DIRECTORY brightgreen
	keyword whole CMAKE_AUTOMOC brightgreen
	keyword whole CMAKE_AUTOMOC_MOC_OPTIONS brightgreen
	keyword whole CMAKE_BUILD_WITH_INSTALL_RPATH brightgreen
	keyword whole CMAKE_DEBUG_POSTFIX brightgreen
	keyword whole CMAKE_EXE_LINKER_FLAGS brightgreen
	keyword whole CMAKE_EXE_LINKER_FLAGS_+ brightgreen
	keyword whole CMAKE_Fortran_FORMAT brightgreen
	keyword whole CMAKE_Fortran_MODULE_DIRECTORY brightgreen
	keyword whole CMAKE_GNUtoMS brightgreen
	keyword whole CMAKE_INCLUDE_CURRENT_DIR brightgreen
	keyword whole CMAKE_INCLUDE_CURRENT_DIR_IN_INTERFACE brightgreen
	keyword whole CMAKE_INSTALL_NAME_DIR brightgreen
	keyword whole CMAKE_INSTALL_RPATH brightgreen
	keyword whole CMAKE_INSTALL_RPATH_USE_LINK_PATH brightgreen
	keyword whole CMAKE_LIBRARY_OUTPUT_DIRECTORY brightgreen
	keyword whole CMAKE_LIBRARY_PATH_FLAG brightgreen
	keyword whole CMAKE_LINK_DEF_FILE_FLAG brightgreen  
	keyword whole CMAKE_LINK_DEPENDS_NO_SHARED brightgreen
	keyword whole CMAKE_LINK_INTERFACE_LIBRARIES brightgreen
	keyword whole CMAKE_LINK_LIBRARY_FILE_FLAG brightgreen
	keyword whole CMAKE_LINK_LIBRARY_FLAG brightgreen
	keyword whole CMAKE_MACOSX_BUNDLE brightgreen
	keyword whole CMAKE_MODULE_LINKER_FLAGS brightgreen
	keyword whole CMAKE_MODULE_LINKER_FLAGS_+ brightgreen
	keyword whole CMAKE_NO_BUILTIN_CHRPATH brightgreen
	keyword whole CMAKE_PDB_OUTPUT_DIRECTORY brightgreen
	keyword whole CMAKE_POSITION_INDEPENDENT_CODE brightgreen
	keyword whole CMAKE_RUNTIME_OUTPUT_DIRECTORY brightgreen
	keyword whole CMAKE_SHARED_LINKER_FLAGS brightgreen
	keyword whole CMAKE_SHARED_LINKER_FLAGS_+ brightgreen
	keyword whole CMAKE_SKIP_BUILD_RPATH brightgreen
	keyword whole CMAKE_SKIP_INSTALL_RPATH brightgreen
	keyword whole CMAKE_STATIC_LINKER_FLAGS brightgreen
	keyword whole CMAKE_STATIC_LINKER_FLAGS_+ brightgreen
	keyword whole CMAKE_TRY_COMPILE_CONFIGURATION brightgreen
	keyword whole CMAKE_USE_RELATIVE_PATHS brightgreen
	keyword whole CMAKE_VISIBILITY_INLINES_HIDDEN brightgreen
	keyword whole CMAKE_WIN32_EXECUTABLE brightgreen
	keyword whole EXECUTABLE_OUTPUT_PATH brightgreen
	keyword whole LIBRARY_OUTPUT_PATH brightgreen
	keyword whole CMAKE_+_ARCHIVE_APPEND brightgreen
	keyword whole CMAKE_+_ARCHIVE_CREATE brightgreen
	keyword whole CMAKE_+_ARCHIVE_FINISH brightgreen
	keyword whole CMAKE_+_COMPILER brightgreen
	keyword whole CMAKE_+_COMPILER_ABI brightgreen
	keyword whole CMAKE_+_COMPILER_ID brightgreen
	keyword whole CMAKE_+_COMPILER_LOADED brightgreen
	keyword whole CMAKE_+_COMPILER_VERSION brightgreen
	keyword whole CMAKE_+_COMPILE_OBJECT brightgreen
	keyword whole CMAKE_+_CREATE_SHARED_LIBRARY brightgreen
	keyword whole CMAKE_+_CREATE_SHARED_MODULE brightgreen
	keyword whole CMAKE_+_CREATE_STATIC_LIBRARY brightgreen
	keyword whole CMAKE_+_FLAGS brightgreen
	keyword whole CMAKE_+_FLAGS_DEBUG brightgreen
	keyword whole CMAKE_+_FLAGS_MINSIZEREL brightgreen
	keyword whole CMAKE_+_FLAGS_RELEASE brightgreen
	keyword whole CMAKE_+_FLAGS_RELWITHDEBINFO brightgreen
	keyword whole CMAKE_+_IGNORE_EXTENSIONS brightgreen
	keyword whole CMAKE_+_IMPLICIT_INCLUDE_DIRECTORIES brightgreen
	keyword whole CMAKE_+_IMPLICIT_LINK_DIRECTORIES brightgreen
	keyword whole CMAKE_+_IMPLICIT_LINK_FRAMEWORK_DIRECTORIES brightgreen
	keyword whole CMAKE_+_IMPLICIT_LINK_LIBRARIES brightgreen
	keyword whole CMAKE_+_LIBRARY_ARCHITECTURE brightgreen
	keyword whole CMAKE_+_LINKER_PREFERENCE brightgreen
	keyword whole CMAKE_+_LINKER_PREFERENCE_PROPAGATES brightgreen
	keyword whole CMAKE_+_LINK_EXECUTABLE brightgreen
	keyword whole CMAKE_+_OUTPUT_EXTENSION brightgreen
	keyword whole CMAKE_+_PLATFORM_ID brightgreen
	keyword whole CMAKE_+_SIZEOF_DATA_PTR brightgreen
	keyword whole CMAKE_+_SOURCE_FILE_EXTENSIONS brightgreen
	keyword whole CMAKE_COMPILER_IS_GNU+ brightgreen
	keyword whole CMAKE_Fortran_MODDIR_DEFAULT brightgreen
	keyword whole CMAKE_Fortran_MODDIR_FLAG brightgreen
	keyword whole CMAKE_Fortran_MODOUT_FLAG brightgreen
	keyword whole CMAKE_INTERNAL_PLATFORM_ABI brightgreen
	keyword whole CMAKE_USER_MAKE_RULES_OVERRIDE_+ brightgreen

	keyword ${*} brightgreen

	spellcheck

context # \n brown

context " " green
	keyword ${*} brightgreen
PK [ћ�{{
dos.syntaxnu�[���# DOS & Windows highlighting
# 2002 (C) Petr Kozelka, <pkozelka@email.cz>

caseinsensitive

context default
    keyword whole break yellow
    keyword whole call yellow
    keyword whole cd yellow
    keyword whole chdir yellow
    keyword whole cls yellow
    keyword whole copy yellow
    keyword whole del yellow
    keyword whole dir yellow
    keyword whole do yellow
    keyword whole echo yellow
    keyword whole else yellow
    keyword whole erase yellow
    keyword whole endlocal yellow
    keyword whole errorlevel yellow
    keyword whole exist yellow
    keyword whole exit yellow
    keyword whole for yellow
    keyword whole goto yellow
    keyword whole if yellow
    keyword whole in yellow
    keyword whole md yellow
    keyword whole mkdir yellow
    keyword whole move yellow
    keyword whole not yellow
    keyword whole off yellow
    keyword whole on yellow
    keyword whole pause yellow
    keyword whole popd yellow
    keyword whole pushd yellow
    keyword whole rd yellow
    keyword wholeleft rem\s*\n brown
    keyword whole ren yellow
    keyword whole rename yellow
    keyword whole rmdir yellow
    keyword whole set yellow
    keyword whole setlocal yellow
    keyword whole shift yellow
    keyword whole then yellow
    keyword whole type yellow
    keyword whole ver yellow

    keyword \\ lightgray
    keyword whole \%\* brightmagenta
    keyword whole \%\$ brightmagenta
    keyword whole \%\[ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz_0123456789\]+\% brightmagenta
    keyword whole \%\[ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz_0123456789@~\]+ brightmagenta
    keyword >> white
    keyword > white
    keyword < white
    keyword | white

context ' ' brightcyan
    spellcheck

context " " brightcyan
    keyword whole \%\[ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz_0123456789\]+\% brightmagenta
    keyword whole \%\[ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz_0123456789@~\]+ brightmagenta
    spellcheck

context linestart :: \n brightgreen
    keyword $+:*$ black green
    spellcheck

context linestart : \n white black
    spellcheck

context :: \n brightgreen
    spellcheck
PK [��z�bbproperties.syntaxnu�[���# Java properties file syntax highlighting
# Author: Tomas Horsky <tomas@thorsky.info>
#
# http://java.sun.com/j2se/1.4.2/docs/api/java/util/Properties.html#load(java.io.InputStream)
# - Does not support escapes in keys: \ , \=, \:
# - Additionally highlights numbers, HTML color codes (#AABBCC), some sort of "variable references" (${ref.to.other.key}), and more

context default lightgray
# Keys
    keyword linestart \[\s\t\]\{"$%&'()\*\+,-./0123456789;<>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\\]^_`abcdefghijklmnopqrstuvwxyz{|}~\}\[!"#$%&'()\*\+,-./0123456789;<>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\\]^_`abcdefghijklmnopqrstuvwxyz{|}~\] yellow
    keyword = brightcyan
    keyword : brightcyan
# Value continuation (first line)
    keyword \\\n yellow
    keyword ${*} brightgreen
    keyword \\u\{0123456789ABCDEFabcdef\}\{0123456789ABCDEFabcdef\}\{0123456789ABCDEFabcdef\}\{0123456789ABCDEFabcdef\} magenta
    keyword whole \{-0123456789\}\[0123456789\] brightcyan
    keyword whole #\{0123456789ABCDEFabcdef\}\[0123456789ABCDEFabcdef\] green
    keyword whole true white
    keyword whole false white

# Value continuation (rest of the lines)
context exclusive \\\n \n lightgray
    keyword linestart \{\s\t\}\[\s\t\] lightgray black
    keyword \\\n yellow
    keyword ${*} brightgreen
    keyword \\u\{0123456789ABCDEFabcdef\}\{0123456789ABCDEFabcdef\}\{0123456789ABCDEFabcdef\}\{0123456789ABCDEFabcdef\} magenta
    keyword whole \{-0123456789\}\[0123456789\] brightcyan
    keyword whole #\{0123456789ABCDEFabcdef\}\[0123456789ABCDEFabcdef\] green

context linestart # \n brown
    spellcheck

context linestart ! \n brown
    spellcheck
PK [�@r==glsl.syntaxnu�[���# GLSL syntax file

# Authors:
#    Sergey Sharybin <sergey.vfx@gmail.com>
#
# Based on glsl-mode.el from
#    Xavier.Decoret@imag.fr
#    Jim Hourihan <jimhourihan ~at~ gmail.com>

context default

# Types

    keyword whole float yellow
    keyword whole double yellow
    keyword whole int yellow
    keyword whole void yellow
    keyword whole bool yellow
    keyword whole true yellow
    keyword whole false yellow
    keyword whole mat2 yellow
    keyword whole mat3 yellow
    keyword whole mat4 yellow
    keyword whole dmat2 yellow
    keyword whole dmat3 yellow
    keyword whole dmat4 yellow
    keyword whole mat2x2 yellow
    keyword whole mat2x3 yellow
    keyword whole mat2x4 yellow
    keyword whole dmat2x2 yellow
    keyword whole dmat2x3 yellow
    keyword whole dmat2x4 yellow
    keyword whole mat3x2 yellow
    keyword whole mat3x3 yellow
    keyword whole mat3x4 yellow
    keyword whole dmat3x2 yellow
    keyword whole dmat3x3 yellow
    keyword whole dmat3x4 yellow
    keyword whole mat4x2 yellow
    keyword whole mat4x3 yellow
    keyword whole mat4x4 yellow
    keyword whole dmat4x2 yellow
    keyword whole dmat4x3 yellow
    keyword whole dmat4x4 yellow
    keyword whole vec2 yellow
    keyword whole vec3 yellow
    keyword whole vec4 yellow
    keyword whole ivec2 yellow
    keyword whole ivec3 yellow
    keyword whole ivec4 yellow
    keyword whole bvec2 yellow
    keyword whole bvec3 yellow
    keyword whole bvec4 yellow
    keyword whole dvec2 yellow
    keyword whole dvec3 yellow
    keyword whole dvec4 yellow
    keyword whole uint yellow
    keyword whole uvec2 yellow
    keyword whole uvec3 yellow
    keyword whole uvec4 yellow
    keyword whole sampler1D yellow
    keyword whole sampler2D yellow
    keyword whole sampler3D yellow
    keyword whole samplerCube yellow
    keyword whole sampler1DShadow yellow
    keyword whole sampler2DShadow yellow
    keyword whole samplerCubeShadow yellow
    keyword whole sampler1DArray yellow
    keyword whole sampler2DArray yellow
    keyword whole sampler1DArrayShadow yellow
    keyword whole sampler2DArrayShadow yellow
    keyword whole isampler1D yellow
    keyword whole isampler2D yellow
    keyword whole isampler3D yellow
    keyword whole isamplerCube yellow
    keyword whole isampler1DArray yellow
    keyword whole isampler2DArray yellow
    keyword whole usampler1D yellow
    keyword whole usampler2D yellow
    keyword whole usampler3D yellow
    keyword whole usamplerCube yellow
    keyword whole usampler1DArray yellow
    keyword whole usampler2DArray yellow
    keyword whole sampler2DRect yellow
    keyword whole sampler2DRectShadow yellow
    keyword whole isampler2DRect yellow
    keyword whole usampler2DRect yellow
    keyword whole samplerBuffer yellow
    keyword whole isamplerBuffer yellow
    keyword whole usamplerBuffer yellow
    keyword whole sampler2DMS yellow
    keyword whole isampler2DMS yellow
    keyword whole usampler2DMS yellow
    keyword whole sampler2DMSArray yellow
    keyword whole isampler2DMSArray yellow
    keyword whole usampler2DMSArray yellow
    keyword whole samplerCubeArray yellow
    keyword whole samplerCubeArrayShadow yellow
    keyword whole isamplerCubeArray yellow
    keyword whole usamplerCubeArray yellow
    keyword whole image1D yellow
    keyword whole iimage1D yellow
    keyword whole uimage1D yellow
    keyword whole image2D yellow
    keyword whole iimage2D yellow
    keyword whole uimage2D yellow
    keyword whole image3D yellow
    keyword whole iimage3D yellow
    keyword whole uimage3D yellow
    keyword whole image2DRect yellow
    keyword whole iimage2DRect yellow
    keyword whole uimage2DRect yellow
    keyword whole imageCube yellow
    keyword whole iimageCube yellow
    keyword whole uimageCube yellow
    keyword whole imageBuffer yellow
    keyword whole iimageBuffer yellow
    keyword whole uimageBuffer yellow
    keyword whole image1DArray yellow
    keyword whole iimage1DArray yellow
    keyword whole uimage1DArray yellow
    keyword whole image2DArray yellow
    keyword whole iimage2DArray yellow
    keyword whole uimage2DArray yellow
    keyword whole imageCubeArray yellow
    keyword whole iimageCubeArray yellow
    keyword whole uimageCubeArray yellow
    keyword whole image2DMS yellow
    keyword whole iimage2DMS yellow
    keyword whole uimage2DMS yellow
    keyword whole image2DMSArray yellow
    keyword whole iimage2DMSArray yellow
    keyword whole uimage2DMSArray yellow
    keyword whole long yellow
    keyword whole short yellow
    keyword whole half yellow
    keyword whole fixed yellow
    keyword whole unsigned yellow
    keyword whole hvec2 yellow
    keyword whole hvec3 yellow
    keyword whole hvec4 yellow
    keyword whole fvec2 yellow
    keyword whole fvec3 yellow
    keyword whole fvec4 yellow
    keyword whole sampler3DRect yellow

# Modifiers

    keyword whole attribute yellow
    keyword whole const yellow
    keyword whole uniform yellow
    keyword whole varying yellow
    keyword whole buffer yellow
    keyword whole shared yellow
    keyword whole coherent yellow
    keyword whole volatile yellow
    keyword whole restrict yellow
    keyword whole readonly yellow
    keyword whole writeonly yellow
    keyword whole atomic_uint yellow
    keyword whole layout yellow
    keyword whole centroid yellow
    keyword whole flat yellow
    keyword whole smooth yellow
    keyword whole noperspective yellow
    keyword whole patch yellow
    keyword whole sample yellow
    keyword whole break yellow
    keyword whole continue yellow
    keyword whole do yellow
    keyword whole for yellow
    keyword whole while yellow
    keyword whole switch yellow
    keyword whole case yellow
    keyword whole default yellow
    keyword whole if yellow
    keyword whole else yellow
    keyword whole subroutine yellow
    keyword whole in yellow
    keyword whole out yellow
    keyword whole inout yellow
    keyword whole invariant yellow
    keyword whole discard yellow
    keyword whole return yellow
    keyword whole lowp yellow
    keyword whole mediump yellow
    keyword whole highp yellow
    keyword whole precision yellow
    keyword whole struct yellow
    keyword whole common yellow
    keyword whole partition yellow
    keyword whole active yellow
    keyword whole asm yellow
    keyword whole class yellow
    keyword whole union yellow
    keyword whole enum yellow
    keyword whole typedef yellow
    keyword whole template yellow
    keyword whole this yellow
    keyword whole packed yellow
    keyword whole resource yellow
    keyword whole goto yellow
    keyword whole inline yellow
    keyword whole noinline yellow
    keyword whole public yellow
    keyword whole static yellow
    keyword whole extern yellow
    keyword whole external yellow
    keyword whole interface yellow
    keyword whole superp yellow
    keyword whole input yellow
    keyword whole output yellow
    keyword whole filter yellow
    keyword whole sizeof yellow
    keyword whole cast yellow
    keyword whole namespace yellow
    keyword whole using yellow
    keyword whole row major yellow
    keyword whole early_fragment_tests yellow

# Deprecated
    keyword whole varying brightred
    keyword whole attribute brightred

# Built-in
    keyword whole abs brightmagenta
    keyword whole acos brightmagenta
    keyword whole acosh brightmagenta
    keyword whole all brightmagenta
    keyword whole any brightmagenta
    keyword whole asin brightmagenta
    keyword whole asinh brightmagenta
    keyword whole atan brightmagenta
    keyword whole atanh brightmagenta
    keyword whole atomicCounter brightmagenta
    keyword whole atomicCounterDecrement brightmagenta
    keyword whole atomicCounterIncrement brightmagenta
    keyword whole barrier brightmagenta
    keyword whole bitCount brightmagenta
    keyword whole bitfieldExtract brightmagenta
    keyword whole bitfieldInsert brightmagenta
    keyword whole bitfieldReverse brightmagenta
    keyword whole ceil brightmagenta
    keyword whole clamp brightmagenta
    keyword whole cos brightmagenta
    keyword whole cosh brightmagenta
    keyword whole cross brightmagenta
    keyword whole degrees brightmagenta
    keyword whole determinant brightmagenta
    keyword whole dFdx brightmagenta
    keyword whole dFdy brightmagenta
    keyword whole dFdyFine brightmagenta
    keyword whole dFdxFine brightmagenta
    keyword whole dFdyCoarse brightmagenta
    keyword whole dFdxCourse brightmagenta
    keyword whole fwidthFine brightmagenta
    keyword whole fwidthCoarse brightmagenta
    keyword whole distance brightmagenta
    keyword whole dot brightmagenta
    keyword whole EmitStreamVertex brightmagenta
    keyword whole EmitVertex brightmagenta
    keyword whole EndPrimitive brightmagenta
    keyword whole EndStreamPrimitive brightmagenta
    keyword whole equal brightmagenta
    keyword whole exp brightmagenta
    keyword whole exp2 brightmagenta
    keyword whole faceforward brightmagenta
    keyword whole findLSB brightmagenta
    keyword whole findMSB brightmagenta
    keyword whole floatBitsToInt brightmagenta
    keyword whole floatBitsToUint brightmagenta
    keyword whole floor brightmagenta
    keyword whole fma brightmagenta
    keyword whole fract brightmagenta
    keyword whole frexp brightmagenta
    keyword whole fwidth brightmagenta
    keyword whole greaterThan brightmagenta
    keyword whole greaterThanEqual brightmagenta
    keyword whole imageAtomicAdd brightmagenta
    keyword whole imageAtomicAnd brightmagenta
    keyword whole imageAtomicCompSwap brightmagenta
    keyword whole imageAtomicExchange brightmagenta
    keyword whole imageAtomicMax brightmagenta
    keyword whole imageAtomicMin brightmagenta
    keyword whole imageAtomicOr brightmagenta
    keyword whole imageAtomicXor brightmagenta
    keyword whole imageLoad brightmagenta
    keyword whole imageSize brightmagenta
    keyword whole imageStore brightmagenta
    keyword whole imulExtended brightmagenta
    keyword whole intBitsToFloat brightmagenta
    keyword whole imageSamples brightmagenta
    keyword whole interpolateAtCentroid brightmagenta
    keyword whole interpolateAtOffset brightmagenta
    keyword whole interpolateAtSample brightmagenta
    keyword whole inverse brightmagenta
    keyword whole inversesqrt brightmagenta
    keyword whole isinf brightmagenta
    keyword whole isnan brightmagenta
    keyword whole ldexp brightmagenta
    keyword whole length brightmagenta
    keyword whole lessThan brightmagenta
    keyword whole lessThanEqual brightmagenta
    keyword whole log brightmagenta
    keyword whole log2 brightmagenta
    keyword whole matrixCompMult brightmagenta
    keyword whole max brightmagenta
    keyword whole memoryBarrier brightmagenta
    keyword whole min brightmagenta
    keyword whole mix brightmagenta
    keyword whole mod brightmagenta
    keyword whole modf brightmagenta
    keyword whole noise brightmagenta
    keyword whole normalize brightmagenta
    keyword whole not brightmagenta
    keyword whole notEqual brightmagenta
    keyword whole outerProduct brightmagenta
    keyword whole packDouble2x32 brightmagenta
    keyword whole packHalf2x16 brightmagenta
    keyword whole packSnorm2x16 brightmagenta
    keyword whole packSnorm4x8 brightmagenta
    keyword whole packUnorm2x16 brightmagenta
    keyword whole packUnorm4x8 brightmagenta
    keyword whole pow brightmagenta
    keyword whole radians brightmagenta
    keyword whole reflect brightmagenta
    keyword whole refract brightmagenta
    keyword whole round brightmagenta
    keyword whole roundEven brightmagenta
    keyword whole sign brightmagenta
    keyword whole sin brightmagenta
    keyword whole sinh brightmagenta
    keyword whole smoothstep brightmagenta
    keyword whole sqrt brightmagenta
    keyword whole step brightmagenta
    keyword whole tan brightmagenta
    keyword whole tanh brightmagenta
    keyword whole texelFetch brightmagenta
    keyword whole texelFetchOffset brightmagenta
    keyword whole texture brightmagenta
    keyword whole textureGather brightmagenta
    keyword whole textureGatherOffset brightmagenta
    keyword whole textureGatherOffsets brightmagenta
    keyword whole textureGrad brightmagenta
    keyword whole textureGradOffset brightmagenta
    keyword whole textureLod brightmagenta
    keyword whole textureLodOffset brightmagenta
    keyword whole textureOffset brightmagenta
    keyword whole textureProj brightmagenta
    keyword whole textureProjGrad brightmagenta
    keyword whole textureProjGradOffset brightmagenta
    keyword whole textureProjLod brightmagenta
    keyword whole textureProjLodOffset brightmagenta
    keyword whole textureProjOffset brightmagenta
    keyword whole textureQueryLevels brightmagenta
    keyword whole textureQueryLod brightmagenta
    keyword whole textureSize brightmagenta
    keyword whole transpose brightmagenta
    keyword whole trunc brightmagenta
    keyword whole uaddCarry brightmagenta
    keyword whole uintBitsToFloat brightmagenta
    keyword whole umulExtended brightmagenta
    keyword whole unpackDouble2x32 brightmagenta
    keyword whole unpackHalf2x16 brightmagenta
    keyword whole unpackSnorm2x16 brightmagenta
    keyword whole unpackSnorm4x8 brightmagenta
    keyword whole unpackUnorm2x16 brightmagenta
    keyword whole unpackUnorm4x8 brightmagenta
    keyword whole usubBorrow brightmagenta

# Deprecated built-in
    keyword whole texture1D magenta
    keyword whole texture1DProj magenta
    keyword whole texture1DLod magenta
    keyword whole texture1DProjLod magenta
    keyword whole texture2D magenta
    keyword whole texture2DProj magenta
    keyword whole texture2DLod magenta
    keyword whole texture2DProjLod magenta
    keyword whole texture2DRect magenta
    keyword whole texture2DRectProj magenta
    keyword whole texture3D magenta
    keyword whole texture3DProj magenta
    keyword whole texture3DLod magenta
    keyword whole texture3DProjLod magenta
    keyword whole shadow1D magenta
    keyword whole shadow1DProj magenta
    keyword whole shadow1DLod magenta
    keyword whole shadow1DProjLod magenta
    keyword whole shadow2D magenta
    keyword whole shadow2DProj magenta
    keyword whole shadow2DLod magenta
    keyword whole shadow2DProjLod magenta
    keyword whole textureCube magenta
    keyword whole textureCubeLod magenta

# Deprecated variables

    keyword whole gl_FragColor red
    keyword whole gl_FragData red
    keyword whole gl_MaxVarying red
    keyword whole gl_MaxVaryingFloats red
    keyword whole gl_MaxVaryingComponents red

# Comments
    keyword /\* brown
    keyword \*/ brown
    keyword // brown

# Preprocessor
    keyword # brightred

# Punctuation
    keyword > white
    keyword < white
    keyword \+ white
    keyword - white
    keyword \* white
    keyword / white
    keyword % white
    keyword = white
    keyword != white
    keyword == white
    keyword & white
    keyword | white
    keyword :: white
    keyword -> white
    keyword { brightcyan
    keyword } brightcyan
    keyword ( brightcyan
    keyword ) brightcyan
    keyword [ brightcyan
    keyword ] brightcyan
    keyword , brightcyan
    keyword : brightcyan
    keyword ; brightmagenta

context exclusive # \n brightred
    keyword \\\n yellow
    keyword /\**\*/ brown
    keyword //*\n brown
    keyword "+" red
    keyword <+> red
context exclusive /\* \*/ brown
    spellcheck
context exclusive // \n brown
    spellcheck

context " " green
    spellcheck
context ' ' brightgreen
    spellcheck
PK [�n���M�M
css.syntaxnu�[���# Updated by Mikhail S. Pobolovets on 2010.09.12
context default
#   -moz-...
    keyword whole \-\{ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\}\[\-0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] lightgray
#   class
    keyword whole \.\{ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\}\[\-0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] green

    keyword whole body white
    keyword whole div white
    keyword whole p white
    keyword whole a white
    keyword whole h1 white
    keyword whole h2 white
    keyword whole h3 white
    keyword whole h4 white
    keyword whole h5 white
    keyword whole h6 white
    keyword whole 'font' white
    keyword whole br white
    keyword whole table white
    keyword whole td white
    keyword whole th white
    keyword whole tr white
    keyword whole u white
    keyword whole i white
    keyword whole b white
    keyword whole address white
    keyword whole big white
    keyword whole small white
    keyword whole sub white
    keyword whole sup white
    keyword whole img white
    keyword whole form white
    keyword whole textarea white
    keyword whole input white
    keyword whole select white
    keyword whole option white
    keyword whole optgroup white


    keyword \:\:add-line white
    keyword \:\:add-page white
    keyword \:\:branch white
    keyword \:\:chunk white
    keyword \:\:close-button white
    keyword \:\:down-arrow white
    keyword \:\:down-button white
    keyword \:\:drop-down white
    keyword \:\:float-button white
    keyword \:\:groove white
    keyword \:\:indicator white
    keyword \:\:handle white
    keyword \:\:icon white
    keyword \:\:item white
    keyword \:\:left-arrow white
    keyword \:\:left-corner white
    keyword \:\:menu-arrow white
    keyword \:\:menu-button white
    keyword \:\:menu-indicator white
    keyword \:\:right-arrow white
    keyword \:\:pane white
    keyword \:\:right-corner white
    keyword \:\:scroller white
    keyword \:\:section white
    keyword \:\:separator white
    keyword \:\:sub-line white
    keyword \:\:sub-page white
    keyword \:\:tab white
    keyword \:\:tab-bar white
    keyword \:\:tear white
    keyword \:\:tear-off white
    keyword \:\:text white
    keyword \:\:title white
    keyword \:\:up-arrow white
    keyword \:\:up-button white

    keyword  \:active brightmagenta
    keyword  \:after brightmagenta
    keyword  \:visited brightmagenta
    keyword  \:before brightmagenta
    keyword  \:first-child brightmagenta
    keyword  \:first-letter brightmagenta
    keyword  \:first-line brightmagenta
    keyword  \:focus brightmagenta
    keyword  \:lang brightmagenta
    keyword  \:link brightmagenta
    keyword  \:adjoins-item brightmagenta
    keyword  \:alternate brightmagenta
    keyword  \:bottom brightmagenta
    keyword  \:checked brightmagenta
    keyword  \:closable brightmagenta
    keyword  \:closed brightmagenta
    keyword  \:default brightmagenta
    keyword  \:disabled brightmagenta
    keyword  \:editable brightmagenta
    keyword  \:edit-focus brightmagenta
    keyword  \:enabled brightmagenta
    keyword  \:exclusive brightmagenta
    keyword  \:first brightmagenta
    keyword  \:flat brightmagenta
    keyword  \:floatable brightmagenta
    keyword  \:has-children brightmagenta
    keyword  \:has-siblings brightmagenta
    keyword  \:horizontal brightmagenta
    keyword  \:hover brightmagenta
    keyword  \:indeterminate brightmagenta
    keyword  \:last brightmagenta
    keyword  \:left brightmagenta
    keyword  \:maximized brightmagenta
    keyword  \:middle brightmagenta
    keyword  \:minimized brightmagenta
    keyword  \:movable brightmagenta
    keyword  \:no-frame brightmagenta
    keyword  \:non-exclusive brightmagenta
    keyword  \:off brightmagenta
    keyword  \:on brightmagenta
    keyword  \:only-one brightmagenta
    keyword  \:open brightmagenta
    keyword  \:next-selected brightmagenta
    keyword  \:pressed brightmagenta
    keyword  \:previous-selected brightmagenta
    keyword  \:read-only brightmagenta
    keyword  \:right brightmagenta
    keyword  \:selected brightmagenta
    keyword  \:top brightmagenta
    keyword  \:unchecked brightmagenta
    keyword  \:vertical brightmagenta
    keyword  \:window brightmagenta

    keyword whole \!important brightred

    keyword whole background-attachment lightgray
    keyword whole background-color lightgray
    keyword whole background-image lightgray
    keyword whole background-position lightgray
    keyword whole background-repeat lightgray
    keyword whole background lightgray
    keyword whole bidi-override lightgray
    keyword whole border-bottom lightgray
    keyword whole border-bottom-color lightgray
    keyword whole border-bottom-style lightgray
    keyword whole border-bottom-width lightgray
    keyword whole border-collapse lightgray
    keyword whole border-color lightgray
    keyword whole border-left lightgray
    keyword whole border-left-color lightgray
    keyword whole border-left-style lightgray
    keyword whole border-left-width lightgray
    keyword whole border-right lightgray
    keyword whole border-right-color lightgray
    keyword whole border-right-style lightgray
    keyword whole border-right-width lightgray
    keyword whole border-spacing lightgray
    keyword whole border-style lightgray
    keyword whole border-top lightgray
    keyword whole border-top-color lightgray
    keyword whole border-top-style lightgray
    keyword whole border-top-width lightgray
    keyword whole border-width lightgray
    keyword whole border lightgray
    keyword whole bottom lightgray
    keyword whole caption-side lightgray
    keyword whole clear lightgray
    keyword whole clip lightgray
    keyword whole color lightgray
    keyword whole content lightgray
    keyword whole counter-increment lightgray
    keyword whole cursor lightgray
    keyword whole direction lightgray
    keyword whole display lightgray
    keyword whole empty-cells lightgray
    keyword whole fixed lightgray
    keyword whole float lightgray
    keyword whole font-size-adjust lightgray
    keyword whole font-stretch lightgray
    keyword whole font-family lightgray
    keyword whole font-size lightgray
    keyword whole font-style lightgray
    keyword whole font-variant lightgray
    keyword whole font-weight lightgray
    keyword whole font lightgray
    keyword whole generic-family lightgray
    keyword whole height lightgray
    keyword whole identifier lightgray
    keyword whole image lightgray
    keyword whole justify lightgray
    keyword whole left lightgray
    keyword whole length lightgray
    keyword whole letter-spacing lightgray
    keyword whole line-height lightgray
    keyword whole list-style-image lightgray
    keyword whole list-style-position lightgray
    keyword whole list-style-type lightgray
    keyword whole list-style lightgray
    keyword whole margin-left lightgray
    keyword whole margin-top lightgray
    keyword whole margin lightgray
    keyword whole marker-offset lightgray
    keyword whole max-height lightgray
    keyword whole max-width lightgray
    keyword whole min-height lightgray
    keyword whole min-width lightgray
    keyword whole nowrap lightgray
    keyword whole outline-color lightgray
    keyword whole outline-style lightgray
    keyword whole outline-width lightgray
    keyword whole outline lightgray
    keyword whole padding-left lightgray
    keyword whole padding-top lightgray
    keyword whole padding lightgray
    keyword whole position lightgray
    keyword whole quotes lightgray
    keyword whole right lightgray
    keyword whole subcontrol-origin lightgray
    keyword whole subcontrol-position lightgray
    keyword whole table-layout lightgray
    keyword whole text-align lightgray
    keyword whole text-decoration lightgray
    keyword whole text-indent lightgray
    keyword whole text-shadow lightgray
    keyword whole text-transform lightgray
    keyword whole top lightgray
    keyword whole unicode-bidi lightgray
    keyword whole vertical-align lightgray
    keyword whole visibility lightgray
    keyword whole white-space lightgray
    keyword whole width lightgray
    keyword whole word-spacing lightgray
    keyword whole opacity lightgray
    keyword whole filter lightgray

    keyword whole qconicalgradient brightgreen
    keyword whole qlineargradient brightgreen
    keyword whole qradialgradient brightgreen

    keyword whole center brightgreen
    keyword whole bold brightgreen
    keyword whole smaller brightgreen
    keyword whole italic brightgreen
    keyword whole bolder brightgreen
    keyword whole underline brightgreen
    keyword whole absolute brightgreen

    keyword whole none brightgreen
    keyword whole auto brightgreen
    keyword whole blink brightgreen
    keyword whole hide brightgreen

    keyword whole thin brightgreen
    keyword whole medium brightgreen
    keyword whole thick brightgreen


    keyword whole disc brightgreen
    keyword whole circle brightgreen
    keyword whole square brightgreen
    keyword whole decimal brightgreen
    keyword whole decimal-leading-zero brightgreen
    keyword whole lower-roman brightgreen
    keyword whole upper-roman brightgreen
    keyword whole lower-alpha brightgreen
    keyword whole upper-alpha brightgreen
    keyword whole lower-greek brightgreen
    keyword whole lower-latin brightgreen
    keyword whole upper-latin brightgreen
    keyword whole hebrew brightgreen
    keyword whole armenian brightgreen
    keyword whole georgian brightgreen
    keyword whole cjk-ideographic brightgreen
    keyword whole hiragana brightgreen
    keyword whole katakana brightgreen
    keyword whole hiragana-iroha brightgreen
    keyword whole katakana-iroha brightgreen

    keyword whole invert brightgreen
    keyword whole oblique brightgreen
    keyword whole no-close-quote brightgreen
    keyword whole repeat-x brightgreen
    keyword whole repeat-y brightgreen
    keyword whole repeat brightgreen
    keyword whole no-repeat brightgreen
    keyword whole small-caps brightgreen
    keyword whole transparent brightgreen

    keyword whole visible brightgreen
    keyword whole hidden brightgreen
    keyword whole dotted brightgreen

    keyword whole dashed brightgreen
    keyword whole solid brightgreen
    keyword whole double brightgreen
    keyword whole groove brightgreen
    keyword whole ridge brightgreen
    keyword whole inset brightgreen
    keyword whole outset brightgreen

    keyword whole crosshair brightgreen
    keyword whole default brightgreen
    keyword whole pointer brightgreen
    keyword whole move brightgreen
    keyword whole e-resize brightgreen
    keyword whole ne-resize brightgreen
    keyword whole nw-resize brightgreen
    keyword whole n-resize brightgreen
    keyword whole se-resize brightgreen
    keyword whole sw-resize brightgreen
    keyword whole s-resize brightgreen
    keyword whole w-resize brightgreen
    keyword whole text brightgreen
    keyword whole wait brightgreen
    keyword whole help brightgreen

    keyword whole inline brightgreen
    keyword whole block brightgreen
    keyword whole list-item brightgreen
    keyword whole run-in brightgreen
    keyword whole compact brightgreen
    keyword whole marker brightgreen
    keyword whole inline-table brightgreen
    keyword whole table-row-group brightgreen
    keyword whole table-header-group brightgreen
    keyword whole table-footer-group brightgreen
    keyword whole table-row brightgreen
    keyword whole table-column-group brightgreen
    keyword whole table-column brightgreen
    keyword whole table-cell brightgreen
    keyword whole table-caption brightgreen

    keyword whole large brightgreen

    keyword whole normal brightgreen
    keyword whole lighter brightgreen

    keyword whole open-quote brightgreen
    keyword whole close-quote brightgreen
    keyword whole no-open-quote brightgreen

    keyword whole baseline brightgreen
    keyword whole super brightgreen
    keyword whole text-top brightgreen
    keyword whole middle brightgreen
    keyword whole text-bottom brightgreen

    keyword whole collapse brightgreen
    keyword whole separate brightgreen

    keyword whole capitalize brightgreen
    keyword whole uppercase brightgreen
    keyword whole lowercase brightgreen

    keyword whole pre brightgreen

    keyword whole aliceblue brightgreen
    keyword whole antiquewhite brightgreen
    keyword whole aqua brightgreen
    keyword whole aquamarine brightgreen
    keyword whole azure brightgreen
    keyword whole beige brightgreen
    keyword whole bisque brightgreen
    keyword whole black brightgreen
    keyword whole blanchedalmond brightgreen
    keyword whole blue brightgreen
    keyword whole blueviolet brightgreen
    keyword whole brown brightgreen
    keyword whole burlywood brightgreen
    keyword whole cadetblue brightgreen
    keyword whole chartreuse brightgreen
    keyword whole chocolate brightgreen
    keyword whole coral brightgreen
    keyword whole cornflowerblue brightgreen
    keyword whole cornsilk brightgreen
    keyword whole crimson brightgreen
    keyword whole cyan brightgreen
    keyword whole darkblue brightgreen
    keyword whole darkcyan brightgreen
    keyword whole darkgoldenrod brightgreen
    keyword whole darkgray brightgreen
    keyword whole darkgreen brightgreen
    keyword whole darkkhaki brightgreen
    keyword whole darkmagenta brightgreen
    keyword whole darkolivegreen brightgreen
    keyword whole darkorange brightgreen
    keyword whole darkorchid brightgreen
    keyword whole darkred brightgreen
    keyword whole darksalmon brightgreen
    keyword whole darkseagreen brightgreen
    keyword whole darkslateblue brightgreen
    keyword whole darkslategray brightgreen
    keyword whole darkturquoise brightgreen
    keyword whole darkviolet brightgreen
    keyword whole deeppink brightgreen
    keyword whole deepskyblue brightgreen
    keyword whole dimgray brightgreen
    keyword whole dodgerblue brightgreen
    keyword whole feldspar brightgreen
    keyword whole firebrick brightgreen
    keyword whole floralwhite brightgreen
    keyword whole forestgreen brightgreen
    keyword whole fuchsia brightgreen
    keyword whole gainsboro brightgreen
    keyword whole ghostwhite brightgreen
    keyword whole gold brightgreen
    keyword whole goldenrod brightgreen
    keyword whole gray brightgreen
    keyword whole green brightgreen
    keyword whole greenyellow brightgreen
    keyword whole honeydew brightgreen
    keyword whole hotpink brightgreen
    keyword whole indianred brightgreen
    keyword whole indigo brightgreen
    keyword whole ivory brightgreen
    keyword whole khaki brightgreen
    keyword whole lavender brightgreen
    keyword whole lavenderblush brightgreen
    keyword whole lawngreen brightgreen
    keyword whole lemonchiffon brightgreen
    keyword whole lightblue brightgreen
    keyword whole lightcoral brightgreen
    keyword whole lightcyan brightgreen
    keyword whole lightgoldenrodyellow brightgreen
    keyword whole lightgrey brightgreen
    keyword whole lightgreen brightgreen
    keyword whole lightpink brightgreen
    keyword whole lightsalmon brightgreen
    keyword whole lightseagreen brightgreen
    keyword whole lightskyblue brightgreen
    keyword whole lightslateblue brightgreen
    keyword whole lightslategray brightgreen
    keyword whole lightsteelblue brightgreen
    keyword whole lightyellow brightgreen
    keyword whole lime brightgreen
    keyword whole limegreen brightgreen
    keyword whole linen brightgreen
    keyword whole magenta brightgreen
    keyword whole maroon brightgreen
    keyword whole mediumaquamarine brightgreen
    keyword whole mediumblue brightgreen
    keyword whole mediumorchid brightgreen
    keyword whole mediumpurple brightgreen
    keyword whole mediumseagreen brightgreen
    keyword whole mediumslateblue brightgreen
    keyword whole mediumspringgreen brightgreen
    keyword whole mediumturquoise brightgreen
    keyword whole mediumvioletred brightgreen
    keyword whole midnightblue brightgreen
    keyword whole mintcream brightgreen
    keyword whole mistyrose brightgreen
    keyword whole moccasin brightgreen
    keyword whole navajowhite brightgreen
    keyword whole navy brightgreen
    keyword whole oldlace brightgreen
    keyword whole olive brightgreen
    keyword whole olivedrab brightgreen
    keyword whole orange brightgreen
    keyword whole orangered brightgreen
    keyword whole orchid brightgreen
    keyword whole palegoldenrod brightgreen
    keyword whole palegreen brightgreen
    keyword whole paleturquoise brightgreen
    keyword whole palevioletred brightgreen
    keyword whole papayawhip brightgreen
    keyword whole peachpuff brightgreen
    keyword whole peru brightgreen
    keyword whole pink brightgreen
    keyword whole plum brightgreen
    keyword whole powderblue brightgreen
    keyword whole purple brightgreen
    keyword whole red brightgreen
    keyword whole rosybrown brightgreen
    keyword whole royalblue brightgreen
    keyword whole saddlebrown brightgreen
    keyword whole salmon brightgreen
    keyword whole sandybrown brightgreen
    keyword whole seagreen brightgreen
    keyword whole seashell brightgreen
    keyword whole sienna brightgreen
    keyword whole silver brightgreen
    keyword whole skyblue brightgreen
    keyword whole slateblue brightgreen
    keyword whole slategray brightgreen
    keyword whole snow brightgreen
    keyword whole springgreen brightgreen
    keyword whole steelblue brightgreen
    keyword whole tan brightgreen
    keyword whole teal brightgreen
    keyword whole thistle brightgreen
    keyword whole tomato brightgreen
    keyword whole turquoise brightgreen
    keyword whole violet brightgreen
    keyword whole violetred brightgreen
    keyword whole wheat brightgreen
    keyword whole white brightgreen
    keyword whole whitesmoke brightgreen
    keyword whole yellow brightgreen
    keyword whole yellowgreen brightgreen

    keyword { yellow
    keyword } yellow

    keyword /\* brown
    keyword \*/ brown

    keyword whole Arial brightgreen
    keyword whole arial brightgreen
    keyword whole Narrow brightgreen
    keyword whole narrow brightgreen
    keyword whole Trebuchet MS brightgreen
    keyword whole trebuchet ms brightgreen
    keyword whole verdana brightgreen
    keyword whole Verdana brightgreen
    keyword whole sans-serif brightgreen
    keyword whole serif brightgreen
    keyword whole tahoma brightgreen
    keyword whole Tahoma brightgreen
    keyword whole Helvetica brightgreen
    keyword whole helvetica brightgreen

#   #1234BEAF
    keyword #\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} red

    keyword whole \[\-\.0123456789\]px brightgreen
    keyword whole \[\-\.0123456789\]em brightgreen
    keyword whole \[\-\.0123456789\]cm brightgreen
    keyword whole \[\-\.0123456789\]mm brightgreen
    keyword whole \[\-\.0123456789\]ex brightgreen
    keyword whole \[\-\.0123456789\]pt brightgreen
    keyword whole \[\-\.0123456789\]pc brightgreen
    keyword whole \[\-\.0123456789\]%  brightred

    keyword whole \{0123456789\} brightgreen
    keyword whole \.\[0123456789\] brightgreen
    keyword whole \-\{0123456789\} brightgreen

    keyword whole '.' white

    keyword { brightcyan
    keyword } brightcyan
    keyword ( brightcyan
    keyword ) brightcyan
    keyword , brightcyan
    keyword : brightcyan
    keyword ; brightmagenta

context exclusive /\* \*/ brown
    spellcheck

context exclusive counter( ) magenta
context exclusive counters( ) magenta
context exclusive rgb( ) magenta
context exclusive url( ) magentaPK [�W�Y
Y
c.syntaxnu�[���# Syntax rules for the C and the C++ programming languages

context default
    keyword whole auto yellow
    keyword whole break yellow
    keyword whole case yellow
    keyword whole char yellow
    keyword whole const yellow
    keyword whole continue yellow
    keyword whole do yellow
    keyword whole double yellow
    keyword whole else yellow
    keyword whole enum yellow
    keyword whole extern yellow
    keyword whole float yellow
    keyword whole for yellow
    keyword whole goto yellow
    keyword whole if yellow
    keyword whole int yellow
    keyword whole long yellow
    keyword whole register yellow
    keyword whole return yellow
    keyword whole short yellow
    keyword whole signed yellow
    keyword whole sizeof yellow
    keyword whole static yellow
    keyword whole struct yellow
    keyword whole switch yellow
    keyword whole typedef yellow
    keyword whole union yellow
    keyword whole unsigned yellow
    keyword whole void yellow
    keyword whole volatile yellow
    keyword whole while yellow
    keyword whole asm yellow
    keyword whole inline yellow
    keyword whole wchar_t yellow
    keyword whole ... yellow
    keyword whole linestart \{\s\t\}\[\s\t\]#*\n brightmagenta
    keyword whole \[\s\t\]default yellow
    keyword whole linestart \[\s\t\]\{ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\}\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\]: cyan

    keyword /\* brown
    keyword \*/ brown
    keyword // brown

    keyword '\\\{"abtnvfr\}' brightgreen
    keyword '\\\{0123\}\{01234567\}\{01234567\}' brightgreen
    keyword '\\'' brightgreen
    keyword '\\\\' brightgreen
    keyword '\\0' brightgreen
    keyword '\{\s!"#$%&()\*\+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[]^_`abcdefghijklmnopqrstuvwxyz{|}~���������������������������������������������������������������������������������������������\}' brightgreen

# punctuation characters, sorted by ASCII code
    keyword ! yellow
    keyword % yellow
    keyword && yellow
    keyword & brightmagenta
    keyword ( brightcyan
    keyword ) brightcyan
    keyword \* yellow
    keyword \+ yellow
    keyword , brightcyan
    keyword - yellow
    keyword / yellow
    keyword : brightcyan
    keyword ; brightmagenta
    keyword < yellow
    keyword = yellow
    keyword > yellow
    keyword ? brightcyan
    keyword [ brightcyan
    keyword ] brightcyan
    keyword ^ brightmagenta
    keyword { brightcyan
    keyword || yellow
    keyword | brightmagenta
    keyword } brightcyan
    keyword ~ brightmagenta

context exclusive /\* \*/ brown
    spellcheck

context exclusive // \n brown
    spellcheck

context linestart # \n brightred
    keyword \\\n yellow
    keyword /\**\*/ brown
    keyword //*\n brown
    keyword "+" red
    keyword <+> red

context " " green
    spellcheck
    keyword \\" brightgreen
    keyword %% brightgreen
    keyword %\[#0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[L\]\{eEfgGoxX\} brightgreen
    keyword %\[0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[hl\]\{diuxX\} brightgreen
    keyword %\[hl\]n brightgreen
    keyword %\[-\]\[0123456789\*\]\[.\]\[0123456789\*\]s brightgreen
    keyword %[*] brightgreen
    keyword %c brightgreen
    keyword %p brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
    keyword \\\\ brightgreen
    keyword \\' brightgreen
    keyword \\\{abtnvfr\} brightgreen
PK [%�~}��ada95.syntaxnu�[���# Scott Billings

caseinsensitive

context default
    keyword whole abs yellow
    keyword whole delta yellow
    keyword whole is yellow
    keyword whole loop yellow
    keyword whole of yellow
    keyword whole range yellow
    keyword whole return yellow
    keyword whole use yellow
    keyword whole with yellow

# prevents - keyword from interfering with comment
    keyword -- brown

    keyword # brightgreen
    keyword ' brightgreen
    keyword ( brightgreen
    keyword ) brightgreen
    keyword \*\* brightgreen
    keyword \* brightgreen
    keyword \+ brightgreen
    keyword , brightgreen
    keyword - brightgreen
    keyword . brightgreen
    keyword .. brightgreen
    keyword /= brightgreen
    keyword / brightgreen
    keyword := brightgreen
    keyword : brightgreen
    keyword ; brightgreen
    keyword => brightgreen
    keyword = brightgreen

    keyword whole begin brightred
    keyword whole case brightred
    keyword whole else brightred
    keyword whole elsif brightred
    keyword whole end brightred
    keyword whole exit brightred
    keyword whole for brightred
    keyword whole if brightred
    keyword whole then brightred
    keyword whole when brightred
    keyword whole while brightred

    keyword whole array cyan
    keyword whole boolean cyan
    keyword whole character cyan
    keyword whole fixed cyan
    keyword whole float cyan
    keyword whole integer cyan
    keyword whole string cyan

    keyword whole goto brightcyan
    keyword whole new_line brightcyan
    keyword whole subtype brightcyan
    keyword whole type brightcyan

    keyword whole function magenta
    keyword whole new magenta
    keyword whole package magenta
    keyword whole procedure magenta

context exclusive -- \n    brown

context " "    green
PK [����S%S%
python.syntaxnu�[���context default
    keyword : brightred
    keyword . white/Orange
    keyword > yellow
    keyword < yellow
    keyword \+ yellow
    keyword - yellow
    keyword \* yellow
    keyword / yellow
    keyword % yellow
    keyword = yellow
    keyword != yellow
    keyword == yellow
    keyword { brightcyan
    keyword } brightcyan
    keyword ( brightcyan
    keyword ) brightcyan
    keyword [ brightcyan
    keyword ] brightcyan
    keyword , brightcyan
    keyword ; brightmagenta
    keyword whole self brightred
    keyword whole and yellow
    keyword whole as yellow
    keyword whole assert yellow
    keyword whole break yellow
    keyword whole class yellow
    keyword whole continue yellow
    keyword whole def yellow
    keyword whole del yellow
    keyword whole elif yellow
    keyword whole else yellow
    keyword whole except yellow
    keyword whole exec yellow
    keyword whole finally yellow
    keyword whole for yellow
    keyword whole from yellow
    keyword whole global yellow
    keyword whole if yellow
    keyword whole import yellow
    keyword whole in yellow
    keyword whole is yellow
    keyword whole lambda yellow
    keyword whole not yellow
    keyword whole or yellow
    keyword whole pass yellow
    keyword whole print yellow
    keyword whole raise yellow
    keyword whole return yellow
    keyword whole try yellow
    keyword whole while yellow
    keyword whole with yellow
    keyword whole yield yellow

    keyword whole abs brightcyan
    keyword whole all brightcyan
    keyword whole any brightcyan
    keyword whole basestring brightcyan
    keyword whole bin brightcyan
    keyword whole bool brightcyan
    keyword whole callable brightcyan
    keyword whole chr brightcyan
    keyword whole classmethod brightcyan
    keyword whole cmp brightcyan
    keyword whole coerce brightcyan
    keyword whole compile brightcyan
    keyword whole complex brightcyan
    keyword whole delattr brightcyan
    keyword whole dict brightcyan
    keyword whole dir brightcyan
    keyword whole divmod brightcyan
    keyword whole enumerate brightcyan
    keyword whole eval brightcyan
    keyword whole execfile brightcyan
    keyword whole file brightcyan
    keyword whole filter brightcyan
    keyword whole float brightcyan
    keyword whole frozenset brightcyan
    keyword whole getattr brightcyan
    keyword whole globals brightcyan
    keyword whole hasattr brightcyan
    keyword whole hash brightcyan
    keyword whole help brightcyan
    keyword whole hex brightcyan
    keyword whole id brightcyan
    keyword whole input brightcyan
    keyword whole int brightcyan
    keyword whole isinstance brightcyan
    keyword whole issubclass brightcyan
    keyword whole iter brightcyan
    keyword whole len brightcyan
    keyword whole list brightcyan
    keyword whole locals brightcyan
    keyword whole long brightcyan
    keyword whole map brightcyan
    keyword whole max brightcyan
    keyword whole min brightcyan
    keyword whole next brightcyan
    keyword whole object brightcyan
    keyword whole oct brightcyan
    keyword whole open brightcyan
    keyword whole ord brightcyan
    keyword whole pow brightcyan
    keyword whole print brightcyan
    keyword whole property brightcyan
    keyword whole range brightcyan
    keyword whole raw_input brightcyan
    keyword whole reduce brightcyan
    keyword whole reload brightcyan
    keyword whole repr brightcyan
    keyword whole reversed brightcyan
    keyword whole round brightcyan
    keyword whole setattr brightcyan
    keyword whole slice brightcyan
    keyword whole sorted brightcyan
    keyword whole staticmethod brightcyan
    keyword whole str brightcyan
    keyword whole sum brightcyan
    keyword whole super brightcyan
    keyword whole tuple brightcyan
    keyword whole type brightcyan
    keyword whole unichr brightcyan
    keyword whole unicode brightcyan
    keyword whole vars brightcyan
    keyword whole xrange brightcyan
    keyword whole zip brightcyan

    keyword whole atof magenta
    keyword whole atoi magenta
    keyword whole atol magenta
    keyword whole expandtabs magenta
    keyword whole find magenta
    keyword whole rfind magenta
    keyword whole index magenta
    keyword whole rindex magenta
    keyword whole count magenta
    keyword whole split magenta
    keyword whole splitfields magenta
    keyword whole join magenta
    keyword whole joinfields magenta
    keyword whole strip magenta
    keyword whole lstrip magenta
    keyword whole rstrip magenta
    keyword whole swapcase magenta
    keyword whole upper magenta
    keyword whole lower magenta
    keyword whole ljust magenta
    keyword whole rjust magenta
    keyword whole center magenta
    keyword whole zfill magenta

    keyword whole __init__ lightgray
    keyword whole __del__ lightgray
    keyword whole __repr__ lightgray
    keyword whole __str__ lightgray
    keyword whole __cmp__ lightgray
    keyword whole __hash__ lightgray
    keyword whole __call__ lightgray
    keyword whole __getattr__ lightgray
    keyword whole __setattr__ lightgray
    keyword whole __delattr__ lightgray
    keyword whole __len__ lightgray
    keyword whole __getitem__ lightgray
    keyword whole __setitem__ lightgray
    keyword whole __delitem__ lightgray
    keyword whole __getslice__ lightgray
    keyword whole __setslice__ lightgray
    keyword whole __delslice__ lightgray
    keyword whole __add__ lightgray
    keyword whole __sub__ lightgray
    keyword whole __mul__ lightgray
    keyword whole __div__ lightgray
    keyword whole __mod__ lightgray
    keyword whole __divmod__ lightgray
    keyword whole __pow__ lightgray
    keyword whole __lshift__ lightgray
    keyword whole __rshift__ lightgray
    keyword whole __and__ lightgray
    keyword whole __xor__ lightgray
    keyword whole __or__ lightgray
    keyword whole __neg__ lightgray
    keyword whole __pos__ lightgray
    keyword whole __abs__ lightgray
    keyword whole __invert__ lightgray
    keyword whole __nonzero__ lightgray
    keyword whole __coerce__ lightgray
    keyword whole __int__ lightgray
    keyword whole __long__ lightgray
    keyword whole __float__ lightgray
    keyword whole __oct__ lightgray
    keyword whole __hex__ lightgray

    keyword whole __radd__ lightgray
    keyword whole __rsub__ lightgray
    keyword whole __rmul__ lightgray
    keyword whole __rdiv__ lightgray
    keyword whole __rmod__ lightgray
    keyword whole __rdivmod__ lightgray
    keyword whole __rpow__ lightgray
    keyword whole __rlshift__ lightgray
    keyword whole __rrshift__ lightgray
    keyword whole __rand__ lightgray
    keyword whole __rxor__ lightgray
    keyword whole __ror__ lightgray

    keyword whole __+__ brightred

context """ """ green
    keyword \\" brightgreen
    keyword \\\n brightgreen
    keyword %% brightgreen
    keyword %\[#0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[L\]\{eEfgGoxX\} brightgreen
    keyword %\[0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[hl\]\{diu\} brightgreen
    keyword %\[hl\]n brightgreen
    keyword %\[.\]\[0123456789\]s brightgreen
    keyword %[*] brightgreen
    keyword %c brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
    keyword \\\\{01234567\}\{01234567\} brightgreen
    keyword \\\\{01234567\} brightgreen
    keyword \\\\ brightgreen
    keyword \\' brightgreen
    keyword \\\{abtnvfr\} brightgreen

context ''' ''' green
    keyword \\" brightgreen
    keyword \\\n brightgreen
    keyword %% brightgreen
    keyword %\[#0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[L\]\{eEfgGoxX\} brightgreen
    keyword %\[0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[hl\]\{diu\} brightgreen
    keyword %\[hl\]n brightgreen
    keyword %\[.\]\[0123456789\]s brightgreen
    keyword %[*] brightgreen
    keyword %c brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
    keyword \\\\{01234567\}\{01234567\} brightgreen
    keyword \\\\{01234567\} brightgreen
    keyword \\\\ brightgreen
    keyword \\' brightgreen
    keyword \\\{abtnvfr\} brightgreen

context # \n brown
    keyword coding\{=:\}\[\s\]\[-0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] brightmagenta
    keyword vim:fileencoding=\[-0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] brightmagenta
    keyword -\*-\[\s\]coding:\[\s\]\[-0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\]\[\s\]-\*- brightmagenta
    spellcheck

context " " green
    keyword \\" brightgreen
    keyword %% brightgreen
    keyword %\[#0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[L\]\{eEfgGoxX\} brightgreen
    keyword %\[0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[hl\]\{diuxX\} brightgreen
    keyword %\[hl\]n brightgreen
    keyword %\[.\]\[0123456789\]s brightgreen
    keyword %[*] brightgreen
    keyword %c brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
    keyword \\\\ brightgreen
    keyword \\' brightgreen
    keyword \\\{abtnvfr\} brightgreen

context ' ' green
    keyword \\" brightgreen
    keyword \\\n brightgreen
    keyword %% brightgreen
    keyword %\[#0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[L\]\{eEfgGoxX\} brightgreen
    keyword %\[0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[hl\]\{diuxX\} brightgreen
    keyword %\[hl\]n brightgreen
    keyword %\[.\]\[0123456789\]s brightgreen
    keyword %[*] brightgreen
    keyword %c brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
    keyword \\\\ brightgreen
    keyword \\' brightgreen
    keyword \\\{abtnvfr\} brightgreen
PK [�7�_!_!
lua.syntaxnu�[���#
# Lua syntax highlighting
#
# History:
#
# - 2015: Support for long strings and long comments.
# - 2011: String-literal fixes.
# - 2005: Started by Mike Gorchak <lestat@i.com.ua>

context default lightgray

# keywords
    keyword whole and white
    keyword whole break white
    keyword whole do white
    keyword whole else white
    keyword whole elseif white
    keyword whole end white
    keyword whole false white
    keyword whole for white
    keyword whole function white
    keyword whole if white
    keyword whole in white
    keyword whole local white
    keyword whole nil white
    keyword whole not white
    keyword whole or white
    keyword whole repeat white
    keyword whole return white
    keyword whole then white
    keyword whole true white
    keyword whole until white
    keyword whole while white

# Comment and string delimiters
    keyword -- brown
    keyword ]\[=\]] brown
    # The following colorizes the start delimiter of "long" strings.
    # The start delimiter of "long" comments isn't affected by the following
    # directive but gets its color from the "--" above (gods know why).
    keyword [\[=\][ brown

# Operators
    keyword ( white
    keyword ) white
    keyword { white
    keyword } white
    keyword [ white
    keyword ] white
    keyword . white
    keyword , white
    keyword ; white
    keyword : white
    keyword .. white
    keyword ... white
    keyword = white
    keyword == white
    keyword ~= white
    keyword <= white
    keyword => white
    keyword > white
    keyword < white
    keyword \+ white
    keyword - white
    keyword \* white
    keyword / white
    keyword ^ white


# Library Functions

    # basiclib
    keyword whole error yellow
    keyword whole getmetatable yellow
    keyword whole setmetatable yellow
    keyword whole getfenv yellow
    keyword whole setfenv yellow
    keyword whole next yellow
    keyword whole ipairs yellow
    keyword whole pairs yellow
    keyword whole print yellow
    keyword whole tonumber yellow
    keyword whole tostring yellow
    keyword whole type yellow
    keyword whole assert yellow
    #keyword whole unpack yellow    # modern code should use table.unpack()
    keyword whole rawequal yellow
    keyword whole rawget yellow
    keyword whole rawset yellow
    keyword whole pcall yellow
    keyword whole xpcall yellow
    keyword whole collectgarbage yellow
    keyword whole gcinfo yellow
    keyword whole loadfile yellow
    keyword whole dofile yellow
    keyword whole loadstring yellow
    keyword whole require yellow
    keyword whole coroutine.create yellow
    keyword whole coroutine.wrap yellow
    keyword whole coroutine.resume yellow
    keyword whole coroutine.yield yellow
    keyword whole coroutine.status yellow

    # stringlib
    keyword whole string.len yellow
    keyword whole string.sub yellow
    keyword whole string.lower yellow
    keyword whole string.upper yellow
    keyword whole string.char yellow
    keyword whole string.rep yellow
    keyword whole string.byte yellow
    keyword whole string.format yellow
    keyword whole string.dump yellow
    keyword whole string.find yellow
    keyword whole string.gfind yellow
    keyword whole string.gsub yellow

    # tablelib
    keyword whole table.concat yellow
    keyword whole table.foreach yellow
    keyword whole table.foreachi yellow
    keyword whole table.getn yellow
    keyword whole table.setn yellow
    keyword whole table.sort yellow
    keyword whole table.insert yellow
    keyword whole table.remove yellow
    keyword whole table.unpack yellow

    # mathlib
    keyword whole math.abs yellow
    keyword whole math.sin yellow
    keyword whole math.cos yellow
    keyword whole math.tan yellow
    keyword whole math.asin yellow
    keyword whole math.acos yellow
    keyword whole math.atan yellow
    keyword whole math.atan2 yellow
    keyword whole math.ceil yellow
    keyword whole math.floor yellow
    keyword whole math.mod yellow
    keyword whole math.frexp yellow
    keyword whole math.ldexp yellow
    keyword whole math.sqrt yellow
    keyword whole math.min yellow
    keyword whole math.max yellow
    keyword whole math.log yellow
    keyword whole math.log10 yellow
    keyword whole math.exp yellow
    keyword whole math.deg yellow
    keyword whole math.pow yellow
    keyword whole math.rad yellow
    keyword whole math.random yellow
    keyword whole math.randomseed yellow

    # iolib
    keyword whole io.input yellow
    keyword whole io.output yellow
    keyword whole io.lines yellow
    keyword whole io.close yellow
    keyword whole io.flush yellow
    keyword whole io.open yellow
    keyword whole io.popen yellow
    keyword whole io.read yellow
    keyword whole io.tmpfile yellow
    keyword whole io.type yellow
    keyword whole io.write yellow

    # filelib
    keyword whole file.flush yellow
    keyword whole file.read yellow
    keyword whole file.lines yellow
    keyword whole file.seek yellow
    keyword whole file.write yellow
    keyword whole file.close yellow
    keyword whole file.__gc yellow
    keyword whole file.__tostring yellow

    # syslib
    keyword whole os.clock yellow
    keyword whole os.date yellow
    keyword whole os.difftime yellow
    keyword whole os.execute yellow
    keyword whole os.exit yellow
    keyword whole os.getenv yellow
    keyword whole os.remove yellow
    keyword whole os.rename yellow
    keyword whole os.setlocale yellow
    keyword whole os.time yellow
    keyword whole os.tmpname yellow

    # debuglib
    keyword whole debug.getlocal yellow
    keyword whole debug.getinfo yellow
    keyword whole debug.gethook yellow
    keyword whole debug.getupvalue yellow
    keyword whole debug.sethook yellow
    keyword whole debug.setlocal yellow
    keyword whole debug.setupvalue yellow
    keyword whole debug.debug yellow
    keyword whole debug.traceback yellow


# Global Variables
    keyword whole _VERSION brightmagenta
    keyword whole _G brightmagenta

#
# Long comments
#

context exclusive --[[ ]] brown
    # hightlights ldoc tags: "@todo", "@param", "@function" etc.
    keyword @\[abcdefghijklmnopqrstuvwxyz\] brightred base
    spellcheck
context exclusive --[=[ ]=] brown
    keyword @\[abcdefghijklmnopqrstuvwxyz\] brightred base
    spellcheck
context exclusive --[==[ ]==] brown
    keyword @\[abcdefghijklmnopqrstuvwxyz\] brightred base
    spellcheck
context exclusive --[===[ ]===] brown
    keyword @\[abcdefghijklmnopqrstuvwxyz\] brightred base
    spellcheck
# 4 equals and up (the number of ='s doesn't have to match, but that's the best we can do):
context exclusive --[====\[=\][ ]====\[=\]] brown
    keyword @\[abcdefghijklmnopqrstuvwxyz\] brightred base
    spellcheck

#
# Long strings
#

context exclusive [[ ]] brightmagenta
    spellcheck
context exclusive [=[ ]=] brightmagenta
    spellcheck
context exclusive [==[ ]==] brightmagenta
    spellcheck
context exclusive [===[ ]===] brightmagenta
    spellcheck
# 4 equals and up (the number of ='s doesn't have to match, but that's the best we can do):
context exclusive [====\[=\][ ]====\[=\]] brightmagenta
    spellcheck


# Simple comments
context exclusive -- \n brown
    keyword @\[abcdefghijklmnopqrstuvwxyz\] brightred base
    spellcheck

# Shebang line
context linestart #! \n brown

# Strings
context " " green
    keyword %a brightgreen
    keyword %c brightgreen
    keyword %d brightgreen
    keyword %l brightgreen
    keyword %p brightgreen
    keyword %q brightgreen
    keyword %s brightgreen
    keyword %u brightgreen
    keyword %w brightgreen
    keyword %x brightgreen
    keyword %z brightgreen
    keyword \\\\ brightgreen
    keyword \\" brightgreen
    keyword \\' brightgreen
    keyword \\\{abtnvfr\} brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
    keyword \\\{01234567\}\{01234567\} brightgreen
    keyword \\\{01234567\} brightgreen
    spellcheck

context ' ' green
    keyword %a brightgreen
    keyword %c brightgreen
    keyword %d brightgreen
    keyword %l brightgreen
    keyword %p brightgreen
    keyword %q brightgreen
    keyword %s brightgreen
    keyword %u brightgreen
    keyword %w brightgreen
    keyword %x brightgreen
    keyword %z brightgreen
    keyword \\\\ brightgreen
    keyword \\" brightgreen
    keyword \\' brightgreen
    keyword \\\{abtnvfr\} brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
    keyword \\\{01234567\}\{01234567\} brightgreen
    keyword \\\{01234567\} brightgreen
    spellcheck
PK [�祱##
f90.syntaxnu�[���# ---------------------------------------------------
# f90.syntax
# FORTRAN 90/95 language freeform syntax highlighting template.
# Provides syntax highlighting rules for the
# FORTRAN programming language.
#
# Based on FORTRAN77 version fortran.syntax
# Author: John Theal
# Email: jtheal@renegadelinuxbox.dhs.org
# December 7, 1999
# ---------------------------------------------------

# Heavily modified by Paul Sheer <psheer@obsidian.co.za>.
# Adjusted to Fortran 90 by Norbert Nemec <Norbert@Nemec-online.de>.

caseinsensitive

context default
# keywords at the beginning of line
    keyword linestart \{0123456789\}\{0123456789\}\{0123456789\}\{0123456789\}\{0123456789\} brightred
    keyword linestart \{0123456789\}\{0123456789\}\{0123456789\}\{0123456789\} brightred
    keyword linestart \{0123456789\}\{0123456789\}\{0123456789\} brightred
    keyword linestart \{0123456789\}\{0123456789\} brightred
    keyword linestart \{0123456789\} brightred

# structure
    keyword whole ASSIGNMENT white black
    keyword whole CONTAINS white black
    keyword whole END white black
    keyword whole FUNCTION white black
    keyword whole INTERFACE white black
    keyword whole INCLUDE white black
    keyword whole MODULE white black
    keyword whole OPERATOR white black
    keyword whole PROGRAM white black
    keyword whole SUBROUTINE white black

# boolean and logical expressions / operators
    keyword .and. brightred/orange
    keyword .eq. brightred/orange
    keyword .eqv. brightred/orange
    keyword .false. brightred/orange
    keyword .ge. brightred/orange
    keyword .gt. brightred/orange
    keyword .le. brightred/orange
    keyword .lt. brightred/orange
    keyword .ne. brightred/orange
    keyword .neqv. brightred/orange
    keyword .not. brightred/orange
    keyword .or. brightred/orange
    keyword .true. brightred/orange
    keyword whole lge brightred/orange
    keyword whole lgt brightred/orange
    keyword whole lle brightred/orange
    keyword whole llt brightred/orange

# declarations
    keyword whole ALLOCATABLE brightcyan
    keyword whole BLOCK brightcyan
    keyword whole CHARACTER brightcyan
    keyword whole COMMON brightcyan
    keyword whole COMPLEX brightcyan
    keyword whole DATA brightcyan
    keyword whole DIMENSION brightcyan
    keyword whole DOUBLE brightcyan
    keyword whole ELEMENTAL brightcyan
    keyword whole EQUIVALENCE brightcyan
    keyword whole EXTERNAL brightcyan
    keyword whole FORMAT brightcyan
    keyword whole IMPLICIT brightcyan
    keyword whole INTEGER brightcyan
    keyword whole INTENT brightcyan
    keyword whole INTRINSIC brightcyan
    keyword whole LOGICAL brightcyan
    keyword whole NAMELIST brightcyan
    keyword whole NONE brightcyan
    keyword whole ONLY brightcyan
    keyword whole OPTIONAL brightcyan
    keyword whole PARAMETER brightcyan
    keyword whole POINTER brightcyan
    keyword whole PRECISION brightcyan
    keyword whole PRIVATE brightcyan
    keyword whole PUBLIC brightcyan
    keyword whole PURE brightcyan
    keyword whole REAL brightcyan
    keyword whole SAVE brightcyan
    keyword whole TYPE brightcyan
    keyword whole USE brightcyan

# general programming syntax
    keyword whole allocate brightgreen
    keyword whole assign brightgreen
    keyword whole call brightgreen
    keyword whole case brightgreen
    keyword whole deallocate brightgreen
    keyword whole default brightgreen
    keyword whole continue brightgreen
    keyword whole cycle brightgreen
    keyword whole do brightgreen
    keyword whole else brightgreen
    keyword whole elseif brightgreen
    keyword whole elsewhere brightgreen
    keyword whole end brightgreen
    keyword whole enddo brightgreen
    keyword whole endif brightgreen
    keyword whole exit brightgreen
    keyword whole for brightgreen
    keyword whole forall brightgreen
    keyword whole goto brightgreen
    keyword whole if brightgreen
    keyword whole nullify brightgreen
    keyword whole pause brightgreen
    keyword whole return brightgreen
    keyword whole select brightgreen
    keyword whole stop brightgreen
    keyword whole target brightgreen
    keyword whole then brightgreen
    keyword whole to brightgreen
    keyword whole where brightgreen
    keyword whole while brightgreen

# headers
    keyword whole entry brightgreen

# I/O functions
# may have optional equal signs after them: 'keyword ='
    keyword whole access brightmagenta
    keyword whole backspace brightmagenta
    keyword whole blank brightmagenta
    keyword whole close brightmagenta
    keyword whole direct brightmagenta
    keyword whole endfile brightmagenta
    keyword whole err brightmagenta
    keyword whole exist brightmagenta
    keyword whole file brightmagenta
    keyword whole fmt brightmagenta
    keyword whole form brightmagenta
    keyword whole formatted brightmagenta
    keyword whole inquire brightmagenta
    keyword whole iostat brightmagenta
    keyword whole name brightmagenta
    keyword whole named brightmagenta
    keyword whole nextrec brightmagenta
    keyword whole number brightmagenta
    keyword whole open brightmagenta
    keyword whole opened brightmagenta
    keyword whole print brightmagenta
    keyword whole read brightmagenta
    keyword whole rec brightmagenta
    keyword whole recl brightmagenta
    keyword whole rewind brightmagenta
    keyword whole sequential brightmagenta
    keyword whole status brightmagenta
    keyword whole unformatted brightmagenta
    keyword whole unit brightmagenta
    keyword whole write brightmagenta

# mathematical functions
    keyword whole abs yellow
    keyword whole achar yellow
    keyword whole acos yellow
    keyword whole aimag yellow
    keyword whole aint yellow
    keyword whole alog yellow
    keyword whole alog10 yellow
    keyword whole amax0 yellow
    keyword whole amax1 yellow
    keyword whole amin0 yellow
    keyword whole amin1 yellow
    keyword whole amod yellow
    keyword whole anint yellow
    keyword whole aprime yellow
    keyword whole asin yellow
    keyword whole atan yellow
    keyword whole atan2 yellow
    keyword whole acos yellow
    keyword whole cabs yellow
    keyword whole ceiling yellow
    keyword whole cexp yellow
    keyword whole char yellow
    keyword whole clog yellow
    keyword whole cmplx yellow
    keyword whole conjg yellow
    keyword whole cos yellow
    keyword whole cosh yellow
    keyword whole ccos yellow
    keyword whole csin yellow
    keyword whole csqrt yellow
    keyword whole dabs yellow
    keyword whole dacos yellow
    keyword whole dasin yellow
    keyword whole datan yellow
    keyword whole datan2 yellow
    keyword whole dble yellow
    keyword whole dcos yellow
    keyword whole dcosh yellow
    keyword whole dfloat yellow
    keyword whole ddmim yellow
    keyword whole dexp yellow
    keyword whole dim yellow
    keyword whole dint yellow
    keyword whole dlog yellow
    keyword whole dlog10 yellow
    keyword whole dmax1 yellow
    keyword whole dmin1 yellow
    keyword whole dmod yellow
    keyword whole dnint yellow
    keyword whole dsign yellow
    keyword whole dsin yellow
    keyword whole dsinh yellow
    keyword whole dsqrt yellow
    keyword whole dtan yellow
    keyword whole dtanh yellow
    keyword whole exp yellow
    keyword whole float yellow
    keyword whole floor yellow
    keyword whole iabs yellow
    keyword whole iachar yellow
    keyword whole ichar yellow
    keyword whole idim yellow
    keyword whole idint yellow
    keyword whole ifix yellow
    keyword whole index yellow
    keyword whole int yellow
    keyword whole isign yellow
    keyword whole len yellow
    keyword whole log yellow
    keyword whole log10 yellow
    keyword whole matmul yellow
    keyword whole max yellow
    keyword whole max0 yellow
    keyword whole max1 yellow
    keyword whole maxval yellow
    keyword whole min yellow
    keyword whole min0 yellow
    keyword whole min1 yellow
    keyword whole minval yellow
    keyword whole mod yellow
    keyword whole nint yellow
    keyword whole product yellow
    keyword whole rand yellow
    keyword whole random_number yellow
    keyword whole random_seed yellow
    keyword whole sign yellow
    keyword whole sin yellow
    keyword whole sinh yellow
    keyword whole sngl yellow
    keyword whole sqrt yellow
    keyword whole sum yellow
    keyword whole tan yellow
    keyword whole tanh yellow
    keyword whole transpose yellow

# operators and syntax elements
    keyword ( brightcyan
    keyword ) brightcyan
    keyword : brightcyan
    keyword , brightcyan
    keyword ; brightcyan
    keyword \+ yellow
    keyword - yellow
    keyword \* yellow
    keyword / yellow
    keyword = yellow
    keyword < yellow
    keyword > yellow

# comments and quotes
    keyword '*' green
    keyword "*" green

#context &\n \n black cyan
    keyword &\n black cyan

context format( ) green

context ! \n brown
   spellcheck
PK [q���			po.syntaxnu�[���# ---------------------------------------------------
# po.syntax
# GNU Gettext PO files syntax highlighting template.
# Syntax highlighting rules for files produced with
# Gettext tools as used in Midnight Commander and
# Cooledit editors.
#
# It highlights:
#   - Original and translated messages   (blue/green)
#   - Generic comments added by Gettext  (white)
#   - C-style format modifiers,
#      as in c.syntax                    (brightgreen)
#   - Messages marked as "fuzzy"         (brightred)
#   - Untranslated messages              (brightred)
#   - Unused messages                    (red)
#
# Author: David Martin <dmartina@excite.es>
# August 17, 2001
# ---------------------------------------------------

context default
    keyword linestart msgstr\s\"\"\n\n brightred
    keyword linestart msgid brightcyan
    keyword linestart msgstr brightcyan

context linestart #,\sfuzzy \n\n brightred

context linestart #: \n white

context linestart #, \n white
    keyword c-format yellow

context linestart #~ \n red

context linestart # \n brown
    spellcheck

context exclusive linestart msgid \n cyan
    spellcheck
    keyword \"\n\"
    keyword \\" brightgreen
    keyword \\\n brightgreen
    keyword %% brightgreen
    keyword %\[#0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[L\]\{eEfgG\} brightgreen
    keyword %\[0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[hl\]\{diouxX\} brightgreen
    keyword %\[hl\]n brightgreen
    keyword %\[.\]\[0123456789\]s brightgreen
    keyword %[*] brightgreen
    keyword %c brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
    keyword \\\\ brightgreen
    keyword \\' brightgreen
    keyword \\\{abtnvfr\} brightgreen

context exclusive linestart msgstr \n green
    spellcheck
    keyword \"\n\"
    keyword \\" brightgreen
    keyword \\\n brightgreen
    keyword %% brightgreen
    keyword %\[#0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[L\]\{eEfgG\} brightgreen
    keyword %\[0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[hl\]\{diouxX\} brightgreen
    keyword %\[hl\]n brightgreen
    keyword %\[.\]\[0123456789\]s brightgreen
    keyword %[*] brightgreen
    keyword %c brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
    keyword \\\\ brightgreen
    keyword \\' brightgreen
    keyword \\\{abtnvfr\} brightgreen
PK [r���D'D'
erlang.syntaxnu�[���# -------------------------------
#
# Erlang programming language syntax highlighting
# for CoolEdit
#
# Homepage of Erlang: http://www.erlang.org
#
# Author: Witold Baryluk < baryluk at smp if uj edu pl >
# Date: 07-07-2009, 08-02-2009
#
# -------------------------------
#
# Useful links:
# http://www.erlang.org/doc/doc-5.4.13/doc/reference_manual/part_frame.html
# http://www.erlang.org/doc/reference_manual/data_types.html#2.14
# http://www.regular-expressions.info/reference.html
# gedit: http://martin.ankerl.com/files/erlang.lang
# joe: http://www.straatinvestments.com/~tlack/erlang/joe-editor/erlang.jsf
# geshi: http://www.kreisquadratur.de/blog/?p=305
# vim: http://erlang.org/pipermail/erlang-questions/2006-July/021362.html
# kate: http://bugsfiles.kde.org/attachment.cgi?id=21155
#
# entries for Syntax file:
#
# file ..\*\\.erl$ Erlang\sProgram (-module\\(|#!.*escript)
# include erlang.syntax
#
# file ..\*\\.hrl$ Erlang\sHeader -record\\(
# include erlang.syntax

context default
    keyword whole after yellow
    keyword whole and brown
    keyword whole andalso brown
    keyword whole band brown
    keyword whole begin yellow
    keyword whole bnot brown
    keyword whole bor brown
    keyword whole bsl brown
    keyword whole bsr brown
    keyword whole bxor brown
    keyword whole case yellow
    keyword whole catch yellow
    keyword whole cond yellow
    keyword whole div brown
    keyword whole end yellow
    keyword whole fun yellow
    keyword whole if yellow
    keyword whole let yellow
    keyword whole not brown
    keyword whole of yellow
    keyword whole or brown
    keyword whole orelse brown
    keyword whole query yellow
    keyword whole receive yellow
    keyword whole rem brown
    keyword whole try yellow
    keyword whole throw yellow
    keyword whole xor brown

    keyword whole when yellow

    keyword whole -module brightmagenta
    keyword whole -compile brightmagenta
    keyword whole -behaviour brightmagenta
    keyword whole -record brightmagenta
    keyword whole -define brightmagenta
    keyword whole -include brightmagenta
    keyword whole -include_lib brightmagenta
    keyword whole -vsn magenta
    keyword whole -author magenta
    keyword whole -copyright magenta
    keyword whole -export brightmagenta
    keyword whole -\{abcdefghijklmnoprqstuvwxyz\}\[abcdefghijklmnoprqstuvwxyzABCDEFGHIJKLMNOPRQSTVWXYZ0123456789_\] red

# erlang:*
    keyword whole abs brightgreen
    keyword whole accept brightgreen
    keyword whole alarm brightgreen
    keyword whole apply brightgreen
    keyword whole atom_to_list brightgreen
    keyword whole binary_to_list brightgreen
    keyword whole binary_to_term brightgreen
    keyword whole check_process_code brightgreen
    keyword whole concat_binary brightgreen
    keyword whole date brightgreen
    keyword whole delete_module brightgreen
    keyword whole disconnect_node brightgreen
    keyword whole element brightgreen
    keyword whole erase brightgreen
    keyword whole exit brightgreen
    keyword whole float brightgreen
    keyword whole float_to_list brightgreen
    keyword whole garbage_collect brightgreen
    keyword whole get brightgreen
    keyword whole get_keys brightgreen
    keyword whole group_leader brightgreen
    keyword whole halt brightgreen
    keyword whole hd brightgreen
    keyword whole integer_to_list brightgreen
    keyword whole is_alive brightgreen
    keyword whole is_atom brightgreen
    keyword whole is_binary brightgreen
    keyword whole is_boolean brightgreen
    keyword whole is_float brightgreen
    keyword whole is_function brightgreen
    keyword whole is_integer brightgreen
    keyword whole is_list brightgreen
    keyword whole is_number brightgreen
    keyword whole is_pid brightgreen
    keyword whole is_port brightgreen
    keyword whole is_process_alive brightgreen
    keyword whole is_record brightgreen
    keyword whole is_reference brightgreen
    keyword whole is_tuple brightgreen
    keyword whole length brightgreen
    keyword whole link brightgreen
    keyword whole list_to_atom brightgreen
    keyword whole list_to_binary brightgreen
    keyword whole list_to_float brightgreen
    keyword whole list_to_integer brightgreen
    keyword whole list_to_pid brightgreen
    keyword whole list_to_tuple brightgreen
    keyword whole load_module brightgreen
    keyword whole loaded brightgreen
    keyword whole localtime brightgreen
    keyword whole make_ref brightgreen
    keyword whole module_loaded brightgreen
    keyword whole node brightgreen
    keyword whole nodes brightgreen
    keyword whole now brightgreen
    keyword whole open_port brightgreen
    keyword whole pid_to_list brightgreen
    keyword whole port_close brightgreen
    keyword whole port_command brightgreen
    keyword whole port_connect brightgreen
    keyword whole port_control brightgreen
    keyword whole ports brightgreen
    keyword whole pre_loaded brightgreen
    keyword whole process_flag brightgreen
    keyword whole process_info brightgreen
    keyword whole processes brightgreen
    keyword whole purge_module brightgreen
    keyword whole put brightgreen
    keyword whole register brightgreen
    keyword whole registered brightgreen
    keyword whole round brightgreen
    keyword whole self brightgreen
    keyword whole setelement brightgreen
    keyword whole size brightgreen
    keyword whole spawn brightgreen
    keyword whole spawn_link brightgreen
    keyword whole spawn_opt brightgreen
    keyword whole split_binary brightgreen
    keyword whole statistics brightgreen
    keyword whole term_to_binary brightgreen
    keyword whole throw brightgreen
    keyword whole time brightgreen
    keyword whole tl brightgreen
    keyword whole trunc brightgreen
    keyword whole tuple_to_list brightgreen
    keyword whole unlink brightgreen
    keyword whole unregister brightgreen
    keyword whole whereis brightgreen

    keyword whole gen_server:cast brightgreen
    keyword whole gen_server:call brightgreen
    keyword whole gen_server:reply brightgreen
    keyword whole gen_server:start_link brightgreen
    keyword whole gen_server:start brightgreen
    keyword whole io:format brightgreen

    keyword whole init white
    keyword whole handle_cast white
    keyword whole handle_call white
    keyword whole handle_info white
    keyword whole handle_event white
    keyword whole handle_sync_event white
    keyword whole terminate white
    keyword whole code_change white

# list comprehension
    keyword <- yellow
# clause
    keyword -> yellow
# guards
    keyword =:= brown
    keyword == brown
    keyword /= brown
    keyword =/= brown
# binary
    keyword << brightcyan
    keyword >> brightcyan
# guards
    keyword < brown
    keyword =< brown
    keyword >= brown
    keyword > brown
# ops
    keyword - yellow
    keyword \+ yellow
    keyword / yellow
    keyword \* yellow

    keyword ; brightcyan
    keyword , brightcyan
    keyword . brightcyan
    keyword = yellow
    keyword % brown

    keyword \+\+ yellow
    keyword -- yellow
    keyword ! yellow
# TODO this does not work for $" or $', use $\" or $\' instead. ?
    keyword $\{abcdefghijklmnoprqstuvwxyzABCDEFGHIJKLMNOPRQSTUVWXYZ0123456789_{}[]()(\\/`~!@#$%^&\*+-=;:'"|,./?<>\} red
    keyword $\ red

#    keyword '\\\{0123\}\{01234567\}\{01234567\}' brightgreen

# TODO: integers, inegers of form Base#digits, 2#1010101
# todo: records access via #state{}

    keyword whole ?MODULE red
    keyword whole ?LINE red
    keyword whole ?FILE red
    keyword whole ?\[abcdefghijklmnoprqstuvwxyzABCDEFGHIJKLMNOPRQSTUVWXYZ0123456789_@\] red

# gray operatory
# cyan - moduly


    keyword whole true red
    keyword whole false red

    keyword [ brightcyan
    keyword || brightcyan
    keyword | brightcyan
    keyword ] brightcyan
    keyword ( brightcyan
    keyword ) brightcyan
    keyword { cyan
    keyword } cyan

    keyword whole lists:seq gray
    keyword whole lists:map gray
    keyword whole lists:filter gray
    keyword whole lists:reduce gray
    keyword whole lists:foldl gray
    keyword whole lists:foldr gray
    keyword whole lists:append gray
    keyword whole lists:nth gray
    keyword whole behaviour_info gray
    keyword whole dict:find gray
    keyword whole dict:is_key gray
    keyword whole dict:erase gray
    keyword whole dict:new gray
    keyword whole dict:map gray
    keyword whole dict:store gray
    keyword whole dict:fetch_keys gray
    keyword whole dict:append gray
    keyword whole dict:update gray
    keyword whole dict:update_counter gray
    keyword whole dict:fold gray
    keyword whole dict:to_list gray
    keyword whole dict:fetch gray

# todo: no more than one dot in atom, and not at the end
    keyword whole \{abcdefghijklmnoprqstuvwxyz\}\[abcdefghijklmnoprqstuvwxyzABCDEFGHIJKLMNOPRQSTUVWXYZ0123456789_.@\] lightgray
    keyword whole \{_ABCDEFGHIJKLMNOPRQSTUVWXYZ\}\[abcdefghijklmnoprqstuvwxyzABCDEFGHIJKLMNOPRQSTUVWXYZ0123456789_@\] white

context exclusive % \n brown
    spellcheck

context " " green
    spellcheck
    keyword ~p brightgreen
    keyword ~n brightgreen
    keyword ~w brightgreen
    keyword ~~ brightgreen
    keyword \\" brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
    keyword \\\{01234567\}\{01234567\} brightgreen
    keyword \\\{01234567\} brightgreen
    keyword \\x\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} brightgreen
    keyword \\\\ brightgreen
    keyword \\' brightgreen
    keyword \\\{bdefrstv\} brightgreen
    keyword \\^\{abcdefghijklmnoprqstuvwxyzABCDEFGHIJKLMNOPRQSTUVWXYZ\} brightgreen

context ' ' red
    keyword \\" brightred
    keyword \\\{0123\}\{01234567\}\{01234567\} brightred
    keyword \\\{01234567\}\{01234567\} brightred
    keyword \\\{01234567\} brightred
    keyword \\x\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} brightred
    keyword \\\\ brightred
    keyword \\' brightred
    keyword \\\{bdefrstv\} brightred
    keyword \\^\{abcdefghijklmnoprqstuvwxyzABCDEFGHIJKLMNOPRQSTUVWXYZ\} brightred
PK [5���44j.syntaxnu�[���# J syntax highlighting

context default

    keyword assert. brightred
    keyword break. brightred
    keyword case. brightred
    keyword catch. brightred
    keyword catchd. brightred
    keyword catcht. brightred
    keyword continue. brightred
    keyword do. brightred
    keyword else. brightred
    keyword elseif. brightred
    keyword end. brightred
    keyword fcase. brightred
    keyword for. brightred
    keyword for_\{abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ\}\[abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789_\]. brightred
    keyword goto_\{abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ\}\[abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789_\]. brightred
    keyword if. brightred
    keyword label_\{abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ\}\[abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789_\]. brightred
    keyword return. brightred
    keyword select. brightred
    keyword throw. brightred
    keyword trap. brightred
    keyword try. brightred
    keyword while. brightred
    keyword whilst. brightred

    keyword NB. green

    keyword \{abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ\}\[abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789_\]\[\s\]=: white
    keyword '\{abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ\}\[abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789_\s\]'\[\s\]=: white

context ' ' brightcyan
#    spellcheck

context exclusive linestart NB.\s\*\s \n brightgreen
#    spellcheck

context exclusive NB. \n green
#    spellcheck
PK [�4��{{
xml.syntaxnu�[���# XML syntax highlighting
#
# Author: Petr Kozelka <pkozelka@email.cz>
# http://kozelka.hyperlink.cz

context default
    keyword &*; white cyan
    spellcheck

context <!-- --> brightgreen
    spellcheck

context <! > yellow
    keyword '+' brightcyan
    keyword "+" brightcyan
    keyword whole DOCTYPE white red
    keyword whole PUBLIC brightred
    keyword whole SYSTEM brightred
    keyword whole NDATA brightred
    keyword whole CDATA white
    keyword whole #PCDATA white
    keyword whole EMPTY white
    keyword whole #REQUIRED white
    keyword whole #IMPLIED white
    keyword whole ELEMENT white
    keyword whole ENTITY brightred
    keyword whole ATTLIST white
    keyword whole %*; white cyan
    keyword \( lightgray
    keyword \) lightgray
    keyword \* lightgray
    keyword \? lightgray
    keyword \+ lightgray
    keyword \| lightgray
    keyword \, lightgray
    spellcheck

context <\?xml \?> white red
context <\? \?> lightgray black
context < > white
    keyword \sxmlns\:*\="+" brightred
    keyword \sxmlns\="+" brightred
    keyword \s*= yellow
    keyword '*' brightcyan
    keyword "*" brightcyan
    spellcheck
PK ['aRJ��debian-control.syntaxnu�[���context default
    keyword linestart Source brightmagenta/20
    keyword linestart Section brightmagenta/20
    keyword linestart Priority brightmagenta/20
    keyword linestart Maintainer brightmagenta/20
    keyword linestart Standards-Version brightmagenta/20
    keyword linestart Package green/6
    keyword linestart Architecture green/6
    keyword linestart Depends green/6
    keyword linestart Recommends green/6
    keyword linestart Suggests green/6
    keyword linestart Conflicts green/6
    keyword linestart Replaces green/6
    keyword linestart Provides green/6
    keyword linestart Pre-Depends green/6
    keyword linestart Build-Depends green/6
    keyword linestart Build-Depends-Indep green/6
    keyword linestart Build-Conflicts green/6
    keyword linestart Build-Conflicts-Indep green/6
    keyword linestart Description green/6
    keyword <*@*> brightred/19

context linestart \s \n brown/22
PK [���O��debian-changelog.syntaxnu�[���context default

    keyword linestart \s\s\* brightcyan/17
    keyword linestart \s-- brightred/19
    keyword linestart \s\s\s\s\+ cyan/17

    keyword <*@*> brightred/19
    keyword whole experimental; brightmagenta/magenta
    keyword whole unstable; brightmagenta/magenta
    keyword whole stable; brightmagenta/magenta
    keyword whole urgency=low yellow/24
    keyword whole urgency=medium brightgreen/6
    keyword whole urgency=high white/25
    keyword whole urgency=LOW yellow/24
    keyword whole urgency=MEDIUM brightgreen/6
    keyword whole urgency=HIGH white/25

    keyword whole bug white/25
    keyword whole Bug white/25
    keyword whole BUG white/25
PK [f�!��changelog.syntaxnu�[���context default
    spellcheck

context linestart \t \n\n
    keyword wholeright +() brightmagenta
    keyword linestart \t\*\s*: brightcyan
    keyword (*): cyan
    keyword (*)\{\s\}[*]: cyan

context linestart \s\s\s\s\s\s\s\s \n\n
    keyword wholeright +() brightmagenta
    keyword \*\s*: brightcyan
    keyword (*): cyan
    keyword (*)\{\s\}[*]: cyan
    keyword whole \* brightcyan

context linestart 19+-+\s \n yellow
    keyword <+> brightred
context linestart 20+-+\s \n yellow
    keyword <+> brightred
context linestart Mon\s \n yellow
    keyword <+> brightred
context linestart Tue\s \n yellow
    keyword <+> brightred
context linestart Wed\s \n yellow
    keyword <+> brightred
context linestart Thu\s \n yellow
    keyword <+> brightred
context linestart Fri\s \n yellow
    keyword <+> brightred
context linestart Sat\s \n yellow
    keyword <+> brightred
context linestart Sun\s \n yellow
    keyword <+> brightred
PK [�+�FF
tcl.syntaxnu�[���wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789._

context default
    keyword whole after yellow
    keyword whole append yellow
    keyword whole array yellow
    keyword whole bgerror yellow
    keyword whole binary yellow
    keyword whole body yellow
    keyword whole break yellow
    keyword whole case yellow
    keyword whole catch yellow
    keyword whole cd yellow
    keyword whole class yellow
    keyword whole clock yellow
    keyword whole close yellow
    keyword whole concat yellow
    keyword whole constructor yellow
    keyword whole continue yellow
    keyword whole dde yellow
    keyword whole default yellow
    keyword whole delete yellow
    keyword whole destructor yellow
    keyword whole else yellow
    keyword whole elseif yellow
    keyword whole encoding yellow
    keyword whole end yellow
    keyword whole eof yellow
    keyword whole error yellow
    keyword whole eval yellow
    keyword whole exec yellow
    keyword whole exit yellow
    keyword whole expr yellow
    keyword whole fblocked yellow
    keyword whole fconfigure yellow
    keyword whole fcopy yellow
    keyword whole file yellow
    keyword whole fileevent yellow
    keyword whole filename yellow
    keyword whole flush yellow
    keyword whole for yellow
    keyword whole foreach yellow
    keyword whole format yellow
    keyword whole gets yellow
    keyword whole glob yellow
    keyword whole global yellow
    keyword whole history yellow
    keyword whole http yellow
    keyword whole if yellow
    keyword whole incr yellow
    keyword whole info yellow
    keyword whole inherit yellow
    keyword whole insert yellow
    keyword whole interp yellow
    keyword whole join yellow
    keyword whole lappend yellow
    keyword whole library yellow
    keyword whole lindex yellow
    keyword whole linsert yellow
    keyword whole list yellow
    keyword whole llength yellow
    keyword whole load yellow
    keyword whole lrange yellow
    keyword whole lreplace yellow
    keyword whole lsearch yellow
    keyword whole lset yellow
    keyword whole lsort yellow
    keyword whole memory yellow
    keyword whole method yellow
    keyword whole msgcat yellow
    keyword whole namespace yellow
    keyword whole object yellow
    keyword whole open yellow
    keyword whole package yellow
    keyword whole packagens yellow
    keyword whole pid yellow
    keyword whole pkgMkIndex yellow
    keyword whole proc yellow
    keyword whole protected yellow
    keyword whole public yellow
    keyword whole puts yellow
    keyword whole pwd yellow
    keyword whole re_syntax yellow
    keyword whole read yellow
    keyword whole regexp yellow
    keyword whole registry yellow
    keyword whole regsub yellow
    keyword whole rename yellow
    keyword whole resource yellow
    keyword whole return yellow
    keyword whole safe yellow
    keyword whole scan yellow
    keyword whole seek yellow
    keyword whole set yellow
    keyword whole socket yellow
    keyword whole source yellow
    keyword whole split yellow
    keyword whole string yellow
    keyword whole subst yellow
    keyword whole switch yellow
    keyword whole tcltest yellow
    keyword whole tclvars yellow
    keyword whole tell yellow
    keyword whole time yellow
    keyword whole then yellow
    keyword whole trace yellow
    keyword whole unknown yellow
    keyword whole unset yellow
    keyword whole update yellow
    keyword whole uplevel yellow
    keyword whole upvar yellow
    keyword whole variable yellow
    keyword whole vwait yellow
    keyword whole while yellow

    keyword ${+} brightgreen

wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789_

    keyword wholeright $+ brightgreen
    keyword wholeright \s-\{abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ\}+ cyan

    keyword < yellow
    keyword > yellow
    keyword = yellow
    keyword || yellow
    keyword && yellow
    keyword != yellow
    keyword == yellow
    keyword :: yellow
    keyword { brightcyan
    keyword } brightcyan
    keyword ( brightcyan
    keyword ) brightcyan
    keyword [ brightcyan
    keyword ] brightcyan
    keyword ; brightmagenta

context # \n brown

context " " green
    keyword wholeright $+ brightgreen
    keyword \\" brightgreen
    keyword \\\n brightgreen
    keyword \\\\ brightgreen
    keyword \\' brightgreen
    keyword \\\{abtnvfr\} brightgreen
PK [1Wa��
osl.syntaxnu�[���# Syntax rules for the C and the C++ programming languages
#
# List of keywords is based on opencl-mode.el from
# Salmane Bah <salmane.bah@u-bordeaux.fr>

context default
# Keywords
    keyword whole break yellow
    keyword whole closure yellow
    keyword whole color yellow
    keyword whole continue yellow
    keyword whole do yellow
    keyword whole else yellow
    keyword whole float yellow
    keyword whole for yellow
    keyword whole if yellow
    keyword whole illuminance yellow
    keyword whole illuminate yellow
    keyword whole int yellow
    keyword whole matrix yellow
    keyword whole normal yellow
    keyword whole output yellow
    keyword whole point yellow
    keyword whole public yellow
    keyword whole return yellow
    keyword whole string yellow
    keyword whole struct yellow
    keyword whole vector yellow
    keyword whole void yellow
    keyword whole while yellow
    keyword whole or yellow
    keyword whole and yellow
    keyword whole not yellow
    keyword whole linestart \{\s\t\}\[\s\t\]#*\n brightmagenta

# Reserved words
    keyword whole bool red
    keyword whole case red
    keyword whole char red
    keyword whole class red
    keyword whole const red
    keyword whole default red
    keyword whole double red
    keyword whole enum red
    keyword whole extern red
    keyword whole false red
    keyword whole friend red
    keyword whole inline red
    keyword whole long red
    keyword whole private red
    keyword whole protected red
    keyword whole short red
    keyword whole signed red
    keyword whole sizeof red
    keyword whole static red
    keyword whole struct red
    keyword whole switch red
    keyword whole template red
    keyword whole this red
    keyword whole true red
    keyword whole typedef red
    keyword whole uniform red
    keyword whole union red
    keyword whole unsigned red
    keyword whole varying red
    keyword whole virtual red

# Shader types
    keyword whole shader white
    keyword whole surface white
    keyword whole displacement white
    keyword whole volume white

    keyword /\* brown
    keyword \*/ brown
    keyword // brown

    keyword '\\\{"abtnvfr\}' brightgreen
    keyword '\\\{0123\}\{01234567\}\{01234567\}' brightgreen
    keyword '\\'' brightgreen
    keyword '\\\\' brightgreen
    keyword '\\0' brightgreen
    keyword '\{\s!"#$%&()\*\+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[]^_`abcdefghijklmnopqrstuvwxyz{|}~���������������������������������������������������������������������������������������������\}' brightgreen

# punctuation characters, sorted by ASCII code
    keyword ! yellow
    keyword % yellow
    keyword && yellow
    keyword & brightmagenta
    keyword ( brightcyan
    keyword ) brightcyan
    keyword \* yellow
    keyword \+ yellow
    keyword , brightcyan
    keyword - yellow
    keyword / yellow
    keyword : brightcyan
    keyword ; brightmagenta
    keyword < yellow
    keyword = yellow
    keyword > yellow
    keyword ? brightcyan
    keyword [ brightcyan
    keyword ] brightcyan
    keyword ^ brightmagenta
    keyword { brightcyan
    keyword || yellow
    keyword | brightmagenta
    keyword } brightcyan
    keyword ~ brightmagenta

context exclusive /\* \*/ brown
    spellcheck

context exclusive // \n brown
    spellcheck

context linestart # \n brightred
    keyword \\\n yellow
    keyword /\**\*/ brown
    keyword //*\n brown
    keyword "+" red
    keyword <+> red

context " " green
    spellcheck
    keyword \\" brightgreen
    keyword %% brightgreen
    keyword %\[#0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[L\]\{eEfgGoxX\} brightgreen
    keyword %\[0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[hl\]\{diuxX\} brightgreen
    keyword %\[hl\]n brightgreen
    keyword %\[-\]\[0123456789\*\]\[.\]\[0123456789\*\]s brightgreen
    keyword %[*] brightgreen
    keyword %c brightgreen
    keyword %p brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
    keyword \\\\ brightgreen
    keyword \\' brightgreen
    keyword \\\{abtnvfr\} brightgreen
PK [7H	#EEjava.syntaxnu�[���# Syntax rules for the Java programming language
#
# Authors:
# lol_zimmerli%headbanger.ch@mail.headbanger.ch, 1999
#
# 2016-03-21  Konrad Twardowski
# * Added missing keywords: _ assert strictfp

context default
    keyword whole _ yellow
    keyword whole abstract yellow
    keyword whole assert yellow
    keyword whole boolean yellow
    keyword whole break yellow
    keyword whole byte yellow
    keyword whole byvalue yellow
    keyword whole case yellow
    keyword whole cast yellow
    keyword whole catch yellow
    keyword whole char yellow
    keyword whole class yellow
    keyword whole clone brightred
    keyword whole const yellow
    keyword whole continue yellow
    keyword whole def yellow
    keyword whole default yellow
    keyword whole do yellow
    keyword whole double yellow
    keyword whole else yellow
    keyword whole enum yellow
    keyword whole equals brightred
    keyword whole extends yellow
    keyword whole false yellow
    keyword whole final yellow
    keyword whole finalize brightred
    keyword whole finally yellow
    keyword whole float yellow
    keyword whole for yellow
    keyword whole future yellow
    keyword whole generic yellow
    keyword whole getClass brightred
    keyword whole goto yellow
    keyword whole hashCode brightred
    keyword whole if yellow
    keyword whole implements yellow
    keyword whole import yellow
    keyword whole inner yellow
    keyword whole instanceof yellow
    keyword whole int yellow
    keyword whole interface yellow
    keyword whole long yellow
    keyword whole native yellow
    keyword whole new yellow
    keyword whole notify brightred
    keyword whole notifyAll brightred
    keyword whole null yellow
    keyword whole outer yellow
    keyword whole package yellow
    keyword whole private yellow
    keyword whole protected yellow
    keyword whole public yellow
    keyword whole rest yellow
    keyword whole return yellow
    keyword whole short yellow
    keyword whole static yellow
    keyword whole strictfp yellow
    keyword whole super yellow
    keyword whole switch yellow
    keyword whole synchronized yellow
    keyword whole this yellow
    keyword whole throw yellow
    keyword whole throws yellow
    keyword whole toString brightred
    keyword whole transient yellow
    keyword whole true yellow
    keyword whole try yellow
    keyword whole var yellow
    keyword whole void yellow
    keyword whole volatile yellow
    keyword whole wait brightred
    keyword whole while yellow

    keyword /\* brown
    keyword \*/ brown
    keyword // brown

    keyword '\s' brightgreen
    keyword '+' brightgreen
    keyword > yellow
    keyword < yellow
    keyword \+ yellow
    keyword - yellow
    keyword \* yellow
    keyword / yellow
    keyword % yellow
    keyword = yellow
    keyword != yellow
    keyword == yellow
    keyword { brightcyan
    keyword } brightcyan
    keyword ( brightcyan
    keyword ) brightcyan
    keyword [ brightcyan
    keyword ] brightcyan
    keyword , brightcyan
    keyword : brightcyan
    keyword ? brightcyan
    keyword ; brightmagenta

context exclusive /\* \*/ brown
    spellcheck

context exclusive // \n brown
    spellcheck

context linestart # \n brightred
    keyword \\\n yellow
    keyword /\**\*/ brown
    keyword "+" red
    keyword <+> red

context " " green
    spellcheck
    keyword \\" brightgreen
    keyword \\n brightgreen
    keyword %% brightgreen
    keyword %\[#0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[L\]\{eEfgGoxX\} brightgreen
    keyword %\[0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[hl\]\{diuxX\} brightgreen
    keyword %\[hl\]n brightgreen
    keyword %\[.\]\[0123456789\]s brightgreen
    keyword %[*] brightgreen
    keyword %c brightgreen
    keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen
    keyword \\\\ brightgreen
    keyword \\' brightgreen
    keyword \\\{abtnvfr\} brightgreen
PK![Hk���
pascal.syntaxnu�[���# Pascal (BP7 IDE alike)

caseinsensitive

context default yellow
    keyword whole absolute white
    keyword whole abstract white
    keyword whole and cyan
    keyword whole array white
    keyword whole as white
    keyword whole asm white
    keyword whole assembler white
    keyword whole begin white
    keyword whole break white
    keyword whole case white
    keyword whole cdecl white
    keyword whole class white
    keyword whole const white
    keyword whole continue white
    keyword whole constructor white
    keyword whole destructor white
    keyword whole dispid white
    keyword whole dispinterface white
    keyword whole dispose white
    keyword whole div cyan
    keyword whole do white
    keyword whole downto white
    keyword whole dynamic white
    keyword whole else white
    keyword whole end white
    keyword whole except white
    keyword whole exit white
    keyword whole export white
    keyword whole exports white
    keyword whole external white
    keyword whole fail white
    keyword whole far white
    keyword whole false white
    keyword whole file white
    keyword whole finalisation white
    keyword whole finally white
    keyword whole for white
    keyword whole forward white
    keyword whole function white
    keyword whole goto white
    keyword whole if white
    keyword whole implementation white
    keyword whole in white
    keyword whole inherited white
    keyword whole initialization white
    keyword whole inline white
    keyword whole interface white
    keyword whole interrupt white
    keyword whole is white
    keyword whole label white
    keyword whole library white
    keyword whole mod cyan
    keyword whole near white
    keyword whole new white
    keyword whole nil white
    keyword whole not white
    keyword whole object white
    keyword whole of white
    keyword whole on white
    keyword whole operator white
    keyword whole or cyan
    keyword whole otherwise white
    keyword whole overload white
    keyword whole override white
    keyword whole packed white
    keyword whole pascal white
    keyword whole private white
    keyword whole procedure white
    keyword whole program white
    keyword whole property white
    keyword whole protected white
    keyword whole public white
    keyword whole published white
    keyword whole raise white
    keyword whole read white
    keyword whole readonly white
    keyword whole record white
    keyword whole register white
    keyword whole repeat white
    keyword whole safecall white
    keyword whole self white
    keyword whole set cyan
    keyword whole shl cyan
    keyword whole shr cyan
    keyword whole stdcall white
    keyword whole string white
    keyword whole then white
    keyword whole to white
    keyword whole true white
    keyword whole try white
    keyword whole type white
    keyword whole unit white
    keyword whole until white
    keyword whole uses white
    keyword whole var white
    keyword whole virtual white
    keyword whole while white
    keyword whole with white
    keyword whole write white
    keyword whole writeln white
    keyword whole xor cyan
    keyword whole .. white

    keyword // brightgreen

    keyword > cyan
    keyword < cyan
    keyword \+ cyan
    keyword - cyan
    keyword / cyan
    keyword % lightgray
    keyword = cyan
    keyword [ lightgray
    keyword ] lightgray
    keyword ( lightgray
    keyword ) lightgray
    keyword , lightgray
    keyword . lightgray
    keyword : lightgray
    keyword ; lightgray
#    keyword {$*} brightred

context ' ' brightcyan
context exclusive // \n brightgreen
    keyword $+:*$ black green
context exclusive (\* \*) brightgreen
    keyword $+:*$ black green
context exclusive (\*\* \*) brightgreen black
    keyword $+:*$ black green
context {$ } green
context { } brightgreen
#    keyword \[ABCDEFGHIJKLMNOPQRSTUVWXYZ\]\[-\+\] brightgreen
#    keyword $* green
    keyword $+:*$ black green
    spellcheck
PK[/�<O33
cxx.syntaxnu�[���PK[址`��
meiffel.syntaxnu�[���PK[C����Uruby.syntaxnu�[���PK[M��O��
n3yxx.syntaxnu�[���PK[��SMnamed.syntaxnu�[���PK[�bqf��
�gidl.syntaxnu�[���PK[D��V���sdiff.syntaxnu�[���PK[���h$&$&�xperl.syntaxnu�[���PK[��?��
J�php.syntaxnu�[���PK[W�p;��qRswig.syntaxnu�[���PK[0֣fhunknown.syntaxnu�[���PK[��\W9	9	�hmakefile.syntaxnu�[���PK[ҞSA;rmail.syntaxnu�[���PK[;�e��	��cs.syntaxnu�[���PK[�[ۈ�
}�awk.syntaxnu�[���PK[�EW�k k ?�procmail.syntaxnu�[���PK[��[[��verilog.syntaxnu�[���PK[[�D�����smalltalk.syntaxnu�[���PK[����==
Y�lkr.syntaxnu�[���PK[{��44	�tt.syntaxnu�[���PK[�*�aa=yum-repo.syntaxnu�[���PK[{��|oo
�opencl.syntaxnu�[���PK[�T�|-|-�.spec.syntaxnu�[���PK[��8�v.v.
@\ebuild.syntaxnu�[���PK[a�����
�lsm.syntaxnu�[���PK[\�	rr�debian-sources-list.syntaxnu�[���PK[��!bbʑcuda.syntaxnu�[���PK[;~��(�(
g�cython.syntaxnu�[���PK[酮R*R*
�octave.syntaxnu�[���PK[!3��44
�strace.syntaxnu�[���PK[\��C{#{#�texinfo.syntaxnu�[���PK[�AF���
8 ini.syntaxnu�[���PK[�N�۟!�!N!fortran.syntaxnu�[���PK[� N�

+Cvhdl.syntaxnu�[���PK[��[&&sWcabal.syntaxnu�[���PK[�!�)()(
�}jal.syntaxnu�[���PK[��MQQ0�r.syntaxnu�[���PK[W�J�--��aspx.syntaxnu�[���PK[�B���!�lisp.syntaxnu�[���PK[Ƚ++H+H�d.syntaxnu�[���PK[&�1H
H
	{
ml.syntaxnu�[���PK[I��55�PKGBUILD.syntaxnu�[���PK[�k�UTT	VMas.syntaxnu�[���PK[���H$$	�cjs.syntaxnu�[���PK[�)�V<<	@xm4.syntaxnu�[���PK[�1##��latex.syntaxnu�[���PK[��ڎu/u/��assembler.syntaxnu�[���PK[�Z������nroff.syntaxnu�[���PK[>ƪN������html.syntaxnu�[���PK[�K7V7V
��puppet.syntaxnu�[���PK[,PrGd!d!
��povray.syntaxnu�[���PK[�&�!�!��haskell.syntaxnu�[���PK[oHxx	�sh.syntaxnu�[���PK[z&fx8	8	�!filehighlight.syntaxnu�[���PK[�v�R��+yaml.syntaxnu�[���PK[�Ϣ�8 8 .0dlink.syntaxnu�[���PK[�ؗyy�Pnemerle.syntaxnu�[���PK[u-0�bb
Ygsyntax.syntaxnu�[���PK[,�		�oSyntaxnu�[���PK[_���d
d
7�slang.syntaxnu�[���PK[1f6M�'�'
ךsql.syntaxnu�[���PK[�AL����debian-description.syntaxnu�[���PK[}5�  	%�go.syntaxnu�[���PK[�'�\����~�cmake.syntaxnu�[���PK [ћ�{{
zZ	dos.syntaxnu�[���PK [��z�bb/c	properties.syntaxnu�[���PK [�@r==�i	glsl.syntaxnu�[���PK [�n���M�M
�	css.syntaxnu�[���PK [�W�Y
Y
�	c.syntaxnu�[���PK [%�~}���
ada95.syntaxnu�[���PK [����S%S%
�	
python.syntaxnu�[���PK [�7�_!_!
k/
lua.syntaxnu�[���PK [�祱##
Q
f90.syntaxnu�[���PK [q���			?t
po.syntaxnu�[���PK [r���D'D'
�}
erlang.syntaxnu�[���PK [5���44�
j.syntaxnu�[���PK [�4��{{
s�
xml.syntaxnu�[���PK ['aRJ��(�
debian-control.syntaxnu�[���PK [���O���
debian-changelog.syntaxnu�[���PK [f�!���
changelog.syntaxnu�[���PK [�+�FF
׺
tcl.syntaxnu�[���PK [1Wa��
W�
osl.syntaxnu�[���PK [7H	#EEt�
java.syntaxnu�[���PK![Hk���
��
pascal.syntaxnu�[���PKTT���